We propose a machine-learning-based mechanism to perform OPC, which is much more efficient than traditional OPC processes in terms of compute resources. Building a physical model for OPC takes a lot of labor and computational time, for example, model calibration requires thousands of cores for up to ten hours , and , OPC data prepare needs thousands of cores for a couple of days. We present a way to use learning to produce OPC mask designs from a large amount of lithography target data with a computationally cheap approach. Our technique uses learning based on pairs of lithography target data and OPCed mask. The impact of different learning algorithm on the quality and performance of mask prediction has been studied. We have tested multiple learning algorithm, such as PyTorch, Multilayer perceptron on IBM cloud. Preliminary evaluation of our technique on a standard contact EUV testsite shows accuracy similar to the standard processes using much less compute power.
Corner rounding improvement is critical to device performance, yield, and cell area reduction. In this paper, we present a method to use dual tone sub-resolution assist feature (SRAF) to improve both the outer corner rounding and inner corner rounding which in turn enhance the pattern quality. The simulation data and wafer data are presented. A few parameters have been investigated, such as the position of the SRAF, the shape of the SRAF, resist type and mask tone. The preliminary results show that more than 40% reduction of both inner corner rounding and outer corner rounding can be achieved by placing sub-resolution assist features at appropriate locations. The limit of corner rounding improvement is determined by mask rule check (MRC) and resist sensitivities.
In this study, the integrity and the benefits of the DSA shrink process were verified through a via-chain test structure, which was fabricated by either DSA or baseline litho/etch process for via layer formation while metal layer processes remain the same. The nearest distance between the vias in this test structure is below 60nm, therefore, the following process components were included: 1) lamella-forming BCP for forming self-aligned via (SAV), 2) EUV printed guiding pattern, and 3) PS-philic sidewall. The local CDU (LCDU) of minor axis was improved by 30% after DSA shrink process. We compared two DSA Via shrink processes and a DSA_Control process, in which guiding patterns (GP) were directly transferred to the bottom OPL without DSA shrink. The DSA_Control apparently resulted in larger CD, thus, showed much higher open current and shorted the dense via chains. The non-optimized DSA shrink process showed much broader current distribution than the improved DSA shrink process, which we attributed to distortion and dislocation of the vias and ineffective SAV. Furthermore, preliminary defectivity study of our latest DSA process showed that the primary defect mode is likely to be etch-related. The challenges, strategies applied to improve local CD uniformity and electrical current distribution, and potential adjustments were also discussed.
In this paper, we discuss the lithographic qualification of high transmission (High T) mask for Via and contact hole applications in 10nm node and beyond. First, the simulated MEEF and depth of focus (DoF) data are compared between the 6% and High T attnPSM masks with the transmission of High T mask blank varying from 12% to 20%. The 12% High T blank shows significantly better MEEF and larger DoF than those of 6% attnPSM mask blank, which are consistent with our wafer data. However, the simulations show no obvious advantage in MEEF and DoF when the blank transmittance is larger than 12%. From our wafer data, it has been seen that the common process window from High T mask is 40nm bigger than that from the 6% attnPSM mask. In the elongated bar structure with smaller aspect ratio, 1.26, the 12% High T mask shows significantly less develop CD pull back in the major direction. Compared to the High T mask, the optimized new illumination condition for 6% attnPSM shows limited improvement in MEEF and the DoF through pitch. In addition, by using the High T mask blank, we have also investigated the SRAF printing, side lobe printing and the resist profile through cross sections, and no patterning risk has been found for manufacturing. As part of this work new 12% High T mask blank materials and processes were developed, and a brief overview of key mask technology development results have been shared. Overall, it is concluded that the High T mask, 12% transmission, provides the most robust and extendable lithographic solution for 10nm node and beyond.
Several 27nm-pitch directed self-assembly (DSA) processes targeting fin formation for FinFET device fabrication are studied in a 300mm pilot line environment, including chemoepitaxy for a conventional Fin arrays, graphoepitaxy for a customization approach and a hybrid approach for self-aligned Fin cut. The trade-off between each DSA flow is discussed in terms of placement error, Fin CD/profile uniformity, and restricted design. Challenges in pattern transfer are observed and process optimization are discussed. Finally, silicon Fins with 100nm depth and on-target CD using different DSA options with either lithographic or self-aligned customization approach are demonstrated.
A 27nm-pitch Graphoepitaxy directed self-assembly (DSA) process targeting fin formation for FinFET device fabrication is studied in a 300mm pilot line environment. The re-designed guiding pattern of graphoepitaxy DSA process determines not only the fine DSA structures but also the fin customization in parallel direction. Consequently, the critical issue of placement error is now resolved with the potential of reduction in lithography steps. However, challenges in subsequent pattern transfer are observed due to insufficient etch budget. The cause of the issues and process optimization are illustrated. Finally, silicon fins with 100nm depth in substrate with pre-determined customization is demonstrated.
The objective of this work is to describe the advances in 193nm photoresists using negative tone
developer and key challenges associated with 20nm and beyond technology nodes.
Unlike positive tone resists which use protected polymer as the etch block, negative tone
developer resists must adhere to a substrate with a deprotected polymer matrix; this poses
adhesion and bonding challenges for this new patterning technology. This problem can be
addressed when these photo resists are coated on anti-reflective coatings with plentiful silicon in
them (SiARC), which are specifically tailored for compatibility with the solvent developing
resist. We characterized these modified SiARC materials and found improvement in pattern
collapse thru-pitches down to 100nm.
Fundamental studies were carried out to understand the interactions between the resist materials
and the developers. Different types of developers were evaluated and the best candidate was
down selected for contact holes and line space applications. The negative tone developer
proximity behavior has been investigated through optical proximity correction (OPC)
verification. The defectivity through wafer has been driven down from over 1000 adders/wafer to
less than 100 adders/wafer by optimizing the develop process. Electric yield test has been
conducted and compared between positive tone and negative tone developer strategies. In
addition, we have done extensive experimental work to reduce negative tone developer volume
per wafer to bring cost of ownership (CoO) to a value that is equal or even lower than that of
positive tone CoO.
Pitch-split resist materials have been developed for the fabrication of sub-74 nm pitch semiconductor devices. A
thermal cure method is used to enable patterning of a second layer of resist over the initially formed layer. Process
window, critical dimension uniformity, defectivity and integration with fabricator applications have been explored. A
tone inversion process has been developed to enable the application of pitch split to dark field applications in addition to
standard bright field applications.
In this work, we investigate the Negative Tone Develop (NTD) process from a fundamental
materials/process interaction perspective. Several key differences exist between a negative tone develop
process and a traditional positive tone develop system. For example, the organic solvent dissolves the
unexposed material, while the deprotected resist remains intact. This causes key differences in key
patterning properties, such as pattern collapse, adhesion, remaining resist, and photoresist etch selectivity.
We have carried out fundamental studies to understand these new interactions between developer and
remaining resist with negative tone develop systems. We have characterized the dynamic dissolution
behavior of a model system with a quartz crystal microbalance with both positive and negative tone solvent
developers. We have also compared contrast curves, and a fundamental model of image collapse. In
addition, we present first results on Optical Proximity Correction (OPC) modeling results of current
Negative Tone Develop (NTD) resist/developer systems.
KEYWORDS: Double patterning technology, Back end of line, Metals, Lithography, Etching, Logic, Dielectrics, Optical lithography, Front end of line, Scanning electron microscopy
Spacer-defined double patterning was investigated as a patterning option for 20/14-nm logic technology's
back-end-of-line (BEOL), and compared with the double patterning options of front-end-of-line (FEOL).
Negative spacer-defined double patterning was used to provide less overlay impact and variable CD control
on the metal lines compared with other double patterning techniques. Block lithography as a 2nd exposure
was able to maintain better tip-to-tip and tip-to-line fidelity by forming lines that behave as a additive etch
block. SiO2 spacer was directly deposited on resist core-mandrel via a low-temperature deposition process.
Resist integrity was optimized through aerial image and mask optimization as well as resist selection
processes. Design decomposition of the BEOL layout was identified as a major challenge in enabling the
spacer-defined double patterning. Finally, successful integration of the patterning into the BEOL device
was demonstrated.
We are evaluating the readiness of extreme ultraviolet (EUV) lithography for insertion into production at the 15 nm
technology node by integrating it into standard semiconductor process flows because we believe that device integration
exercises provide the truest test of technology readiness and, at the same time, highlight the remaining critical issues. In
this paper, we describe the use of EUV lithography with the 0.25 NA Alpha Demo Tool (ADT) to pattern the contact and
first interconnect levels of a large (~24 mm x 32 mm) 22 nm node test chip using EUV masks with state-of-the-art
defectivity (~0.3 defects/cm2). We have found that: 1) the quality of EUVL printing at the 22 nm node is considerably
higher than the printing produced with 193 nm immersion lithography; 2) printing at the 22 nm node with EUV
lithography results in higher yield than double exposure double-etch 193i lithography; and 3) EUV lithography with the
0.25 NA ADT is capable of supporting some early device development work at the 15 nm technology node.
The development of Double-Patterning (DP) techniques continues to push forward aiming to extend the immersion
based lithography below 36 nm half pitch. There are widespread efforts to make DP viable for further scaling of
semiconductor devices. We have developed Develop/Etch/Develop/Etch (DE2) and Double-Expose-Track-Optimized
(DETO) techniques for producing pitch-split patterns capable of supporting semiconductor devices for the 16 nm and 11
nm nodes. The IBM Alliance has established a DETO baseline, in collaboration with ASML, TEL, CNSE, and KLATencor,
to evaluate the manufacturability of DETO by using commercially available resist systems. Presented in this
paper are the long-term performance results of these systems relevant to defectivity, overlay, and CD uniformity.
Historically, lithographic scaling was driven by both improvements in wavelength and numerical aperture. Recently,
the semiconductor industry completed the transition to 1.35NA immersion lithography. The industry
is now focusing on double patterning techniques (DPT) as a means to circumvent the limitations of Rayleigh
diffraction. Here, the IBM Alliance demonstrates the extendibility of several double patterning solutions that
enable scaling of logic constructs by decoupling the pattern spatially through mask design or temporally through
innovative processes. This paper details a set of solutions that have enabled early 22 nm learning through careful
lithography-design optimization.
The semiconductor industry faces a lithographic scaling limit as the industry completes the transition to 1.35 NA
immersion lithography. Both high-index immersion lithography and EUV lithography are facing technical
challenges and commercial timing issues. Consequently, the industry has focused on enabling double patterning
technology (DPT) as a means to circumvent the limitations of Rayleigh scaling. Here, the IBM development
alliance demonstrate a series of double patterning solutions that enable scaling of logic constructs by decoupling
the pattern spatially through mask design or temporally through innovative processes. These techniques have been
successfully employed for early 32nm node development using 45nm generation tooling. Four different double
patterning techniques were implemented. The first process illustrates local RET optimization through the use of a
split reticle design. In this approach, a layout is decomposed into a series of regions with similar imaging
properties and the illumination conditions for each are independently optimized. These regions are then printed
separately into the same resist film in a multiple exposure process. The result is a singly developed pattern that
could not be printed with a single illumination-mask combination. The second approach addresses 2D imaging
with particular focus on both line-end dimension and linewidth control [1]. A double exposure-double etch (DE2)
approach is used in conjunction with a pitch-filling sacrificial feature strategy. The third double exposure process,
optimized for via patterns also utilizes DE2. In this method, a design is split between two separate masks such that
the minimum pitch between any two vias is larger than the minimum metal pitch. This allows for final structures
with vias at pitches beyond the capability of a single exposure. In the fourth method,, dark field double dipole
lithography (DDL) has been successfully applied to BEOL metal structures and has been shown to be overlay
tolerant [6]. Collectively, the double patterning solutions developed for early learning activities at 32nm can be
extended to 22nm applications.
Immersion lithography for the 32nm node and beyond requires advanced methods to control 193 nm radiation
reflected at the resist/BARC interface, due to the high incident angles that are verified under high numerical aperture
(NA) imaging conditions. Swing curve effects are exacerbated in the high NA regime, especially when highly reflective
substrates are used, and lead to critical dimension (CD) control problems. BARC reflectivity control is also particularly
critical when underlying surface topography is present in buried layers due to potential reflective notching problems. In
this work, a graded spin-on organic BARC was developed to enable appropriate reflectivity control under those
conditions. The graded BARC consists of two optically distinct polymers that are completely miscible in the casting
solution. Upon film coating and post-apply baking, the two polymers vertically phase-separate to form an optically
graded layer. Different characterization techniques have been applied to the study of the distribution of graded BARC
components to reveal the internal and surface composition of the optically graded film, which includes Variable Angle
Spectroscopic Ellipsometry (VASE) and Secondary Ion Mass Spectroscopy (SIMS). Also, optical constant optimization,
substrate compatibility, patterning defectivity and etch feasibility for graded BARC layers are described. Superior 193
nm lithographic performance and reflectivity control of graded BARC beyond 1.20 NA compared to conventional
BARCs is also demonstrated.
The back-end-of-line metallization of a state-of-the-art CMOS process is the most critical level regarding the
final density of the chip. While the gate level requires the most emphasis on linewidth control and critical
dimension uniformity (CDU) of all lithography steps, the smallest pitch in the process is typically printed on
the first metallization level. For this reason, a natural starting point for application of dipole lithography is
not the gate level, which in many cases can be printed with quadrupole and other off-axis schemes with good
process latitude, but the metal level with pitches that are typically between 10 and 25% smaller than the gate
pitch. If the same generation exposure tool is used for both gate and metallization levels, then a more aggressive
off-axis illumination is needed for the metal level.
In this paper, we investigate the application of double dipole lithography on the first metallization level
(M1). We propose a simple bias to account for EMF effects compared to the thin mask approximation which
is used in optical proximity correction. We discuss resist and BARC processes that are required at this pitch,
and describe process windows. Using a 1.2 NA lithography system, we investigate the performance of this lithography technique at a pitch of 100 nm.
The ability to extend 193 nm lithography resolution depends on increasing the numerical aperture (NA) of the exposure system, resulting in smaller depth of focus, which subsequently requires use of thinner photoresists. Bottom antireflective coatings (BARCs) are a necessity, but the organic composition of current 193 nm BARCs offers poor etch selectivity to the photoresist. As a result, image transfer with thin resists is becoming increasingly difficult. It is also more challenging to control reflectivity at high numerical apertures with a thin, single layer BARC.
To address these issues, IBM has developed a new class of silicon containing BARCs. These materials exhibit high etch selectivity that will significantly improve the performance of high NA 193 nm lithography. The incorporation of silicon in the backbone of the polymers comprising these BARCS affords a high etch selectivity to conventional organic resists and therefore these polymers can be used as thick planarizing BARCs. The optical constants of these BARCs have been tuned to provide good reflectivity control at NA > 1.2 These materials can also be used as part of a dual layer BARC scheme composed of the thin organosilicon based BARC coated over a planarizing organic underlayer. This scheme has also been optically tuned to provide reflectivity suppression at high incident angles. By utilizing a thick BARC, a novel contact hole shrink process is enabled that allows tapering of the sidewall angle and controlling the post-etch critical dimension (CD) bias. Structures of the silicon containing polymer, formulation chemistry, optical tunability, lithography at high NA and RIE pattern transfer are reported.
Polysilsesquioxane-based 193 nm positive bilayer resists are described. In this design Si for etch resistance is placed in every repeat unit and acid-labile protected and acidic groups (and polar units) are in the side chain, allowing to incorporate each lithographically critical functionality in sufficient quantity. Fluoroalcohol is employed as an acid group instead of carboxylic acid because of its more attractive dissolution properties. Polymers were carefully analyzed by 19F, 13C, and 29Si NMR to determine composition and to quantify residual acetyl, silanol, and Q/T. Hydrogen-bonding between tertiary ester and fluoroalcohol in the polysilsesquioxanes was investigated by FT-IR and the effect of lactone incorporation on the thermal deprotection temperature elucidated. In order to better understand the dissolution behavior of exposed resist films, the silsesquioxane resist polymers were partially (ca. 30%) and fully deprotected in solution with acid and their dissolution kinetics investigated by using a quartz crystal microbalance (QCM). It has been found that the exposed areas of the silsesquioxane resists can have a very fast dissolution rate (Rmax) of >20,000 A/sec (or even >100,000 A/sec). Heating the fully deprotected model polymers to 150°C did not reduce the dissolution rate much, suggesting thermal condensation of silanol end groups is insignificant. Model deprotected polymers containing triphenylsulfonium nonaflate were exposed to 254 nm radiation, baked, and subjected to QCM measurements in order to determine whether or not acid-catalyzed silanol condensation would reduce the dissolution rate. A combination of high dose and high temperature bake resulted in significant reduction of the dissolution rate in the silsesquioxane polymer containing a small trifluoroalcohol. However, the dissolution behavior of the polymer bearing a bulky norbornene hexafluoroalcohol was unaffected by exposure and bake. Chemical and development contrast curves were generated by using a temperature gradient plate and bake temperature effects investigated. While a postapply bake temperature variation from 95 to 130°C did not affect the contrast (chemical and development) and sensitivity, a postexposure bake temperature effect was quite small. The process window of the silsesquioxane bilayer resists was comparable to that of a high performance commercial 193 nm single layer resist for both isolated and nested contact hole imaging, Superior performance of our silsesquioxane resist was demonstrated in patterned etch in comparison with a COMA-based bilayer resist.
Polyhedral Oligomeric Silsesquioxane (POSS) derivatives have been investigated as potential candidates for high resolution resists. POSS materials are cage compounds with defined mono-disperse molecular weights. These materials are attractive candidates for molecular resist development because of their commercial availability and the ease with which they can be derivatized. These resists are more suited for bilayer resist applications because of their high silicon content. We have developed positive bilayer resists suitable for 193-nm and other emerging lithographic applications.
KEYWORDS: Etching, Polymerization, Molecules, Monte Carlo methods, Finite element methods, Lithography, Ultraviolet radiation, Molecular interactions, Scanning electron microscopy, Optical lithography
Step and Flash Imprint Lithography (SFIL) is a revolutionary next generation lithography option that has become increasingly attractive in recent years. Elimination of the costly optics of current step and scan imaging tools makes SFIL a serious candidate for large-scale commercial patterning of critical dimensions below ~50 nm. This work focuses on the kinetics of the UV curing of the liquid etch barrier and the resulting densification/contraction of the etch barrier as it solidifies during this step. Previous experimental work in our group has measured the bulk densification of several etch barrier formulations, typically about 9 % (v/v). It remains unknown, however, how much etch barrier contraction occurs during the formation of nano-scale features. Furthermore, it is of interest to examine how changes in monomer pendant group size impact imprinted feature profiles.
This work provides answers to these questions through a combination of modeling and experimental efforts. Densification due to the photopolymerization reaction and the resulting shift from Van der Waals’ to covalent interactions is modeled using Monte-Carlo techniques. The model allows for determination of extent of reaction, degree of polymerization, and local density changes as a function of the etch barrier formulation and the interaction energies between molecules (including the quartz template). Experimental efforts focus on a new technique to examine trench profiles in the quartz template using TEM characterization. Additionally, SEM images of imprinted images from various etch barrier formulations were examined to determine local contraction of the etch barrier. Over a large range of etch barrier formulations, which range from 10 - 20 % volumetric contraction as bulk materials, it was found that dense 100 nm lines printed approximately the same size and shape.
Satellite spot defects are a class of defects widely observed in photoresist processing in 248 nm and 193 nm lithography. These defects become more and more significant as the feature sizes shrink and can potentially become “killer” defects, leading to bridging between lines and/or blocking vias. Traditional potential solutions (i.e., optimization of development rinse step) have yielded improvements in the past but did not eliminate the problem. The use of water-soluble topcoat layers was shown to eliminate these defects but it imposes limitations on throughput and cost and it is incompatible with 157 nm lithography and 193 nm immersion schemes. In this work, we report the use of aqueous surfactant solutions for the suppression of defects in 248 nm and 193 nm lithography, with emphasis on satellite spot defects. Suppression of total defects by up to ~99% and practically complete elimination of satellite spot defects were achieved by use of aqueous surfactant solutions for various resists. A handful of materials that can be incorporated into rinse solution for the successful elimination of blob defects in a variety of resists were identified. It was determined that the two most important factors that enable successful defect elimination are the surfactant concentration and the extent of surfactant adsorption to specific resist systems.
Resist technologies that will enable next-generation lithography (NGL) such as extreme ultraviolet lithography (EUV) will require tighter control of critical dimension (CD) with appropriate reduction of line edge roughness (LER) of resist features to levels that seem unrealizable today. Given the delicate balance existing between LER, resolution and sensitivity that is associated with photoresist patterning, alternative processing methodologies that can address such parameters individually are required. In this work a post-processing method designed to control LER is proposed based on the ability of an additive-containing rinse to condition the surface of photoresist patterns. Organic salts added to the final rinse used to quench the development process are found to be particularly effective towards this end. LER reduction up to 15% was observed for a broad range of 193 nm resist systems, while preserving the integrity of the pattern profiles. The dependence of LER reduction on additive concentration was investigated and the limited improvement observed was explained based on the tendency of the additive to self-aggregate. Finally, the advantage of including an additive in the rinse step instead of using an additive-containing developer is discussed in terms of critical dimension bias and overall image integrity control.
Immersion lithography has been proposed as a technique to print sub-100nm features using 193nm lithography. The process involves filling the space between the lens fixture of an exposure tool and the photoresist-coated silicon wafer with a liquid. In the case of immersion 193nm lithography, water can serve as that liquid. The immersion option raises questions about how photoresists and water interact. Components of the photoresist could be leached into the water, thus modifying the refractive index of the medium, depositing material on the lens, or altering the solubility switching process of the photoresist. Several phenomena could affect the optical properties of the resist and water and, ultimately, the resolution of the process. To better understand the impact that immersion lithography would have on photoresist performance, a study has been undertaken to measure the amount of resist components that are leached by water from model 193nm photoresists. The components studied were residual casting solvent (propylene glycol methyl ether acetate), the photoacid generator (triphenylsulfonium nonaflate), and the base quencher (triethanolamine). Since it was expected that only a small amount of each material would be leached into the water, 14C-labeled samples of each resist component were synthesized and added to the 193nm resists. Films of the labeled resists were coated onto a silicon wafer and immersed in water. The water was collected and the film was dissolved in casting solvent and collected. The amount of material leached into the water was determined by radiochemical analysis. Spectroscopic ellipsometry was also used to quantify changes in the optical constants of the resists and the water.
The use of in situ spectroscopic ellipsometry (SE) is demonstrated as a technique for studying photoresist dissolution. Experiments carried out using a J.A.Woollam M-2000 ellipsometer and a custom built cell designed for in situ film measurements show that bulk dissolution rate measurements using the SE technique agree with dissolution rate data obtained using multiwavelength interferometry. SE is also demonstrated as a method for measuring thin film dissolution rates, water sorption, and films that swell. An additional focus of this work was the topic of interfacial “gel” layer formation during photoresist dissolution. Ellipsometry and interferometry were used to test several photoresist resins, with an emphasis on phenolic polymers. Single and multiple layer models were used to analyze the data, and were compared to model calculations predicting formation of a gel layer. For the materials studied, interfacial gel layer formation in low molecular weight phenolic polymers was not detected, within the resolution of the experimental techniques (< 15 nm).
The use of chemically amplified photoresists for the fabrication of sub-100 nm features will require spatial control with nanometer level resolution. To reach this goal, a detailed understanding of the complex reaction-diffusion mechanisms at these length scales is needed and will require high spatial resolution measurements. In particular, few experimental methods can directly measure the spatial evolution of the deprotection reaction front and correlate it with the developed structure. In this work, we demonstrate the complementary use of neutron (NR) and x-ray (XR) reflectometry to measure the reaction front profile with nanometer resolution. Using a bilayer geometry with a lower deuterium-substituted poly(tert-butoxycarboxystyrene) (d-PBOCSt) layer and an upper poly(hydroxystyrene) (PHOSt) layer loaded with a photoacid generator (PAG), we directly measure the spatial evolution of the reaction front. We show that the reaction front profile is broader than the initial interface after a post-exposure bake and the compositional profile changes upon development in an aqueous base solution. We also directly correlate the final developed structure with the reaction front profile. The spatial detail enabled by this general methodology can be used to differentiate between and evaluate quantitatively reaction-diffusion models.
A mesoscale computer simulation for positive tone chemically amplified resists is reported. The simulation is based upon detailed models of resist function, and the required simulation inputs are fundamental quantities that can be measured independently of lithographic performance. These input parameters include resist formulation variables such as polymer molecular weight, photoacid generator loading, and activation energy of the deprotection reaction, as well as processing variables such as temperature and duration of bake steps and developer concentration. The simulation can model all major resist processing steps: spincoat and post apply bake, exposure, post exposure bake, dissolution, and drying. The general approach involves representing the photoresist film on a three dimensional lattice. Some lattice sites represent acid or solvent molecules and other lattice sites are strung together to form polymer chains. Each lattice cell is considered individually during the simulation, and each behaves in a manner consistent with its chemical identity. The mesoscale nature of the model allows investigation of stochastic effects that lead to line edge roughness, such as shot noise during exposure and the finite size of resist molecules. The model is based upon extensive experimental studies, and has now yielded results that are qualitatively correct for all major lithographic processing steps.
Water is known to play a key role in the solubility switching reaction of novolac-diazonaphthoquinone photoresists and certain chemically amplified resists. In order to quantitatively study these effects, an environmental chamber was built in which the % RH could be controlled while the extent of acid catalyzed deprotection was monitored during the post exposure bake by reflectance FTIR spectroscopy. The extent of acid catalyzed deprotection of tBOC, KRS-XE, UV6, and a tBOC-poly(hydroxystyrene) copolymer have been measured as a function of time over a range of 0-60 % RH. For tBOC, the deprotection reaction rate was found to slow considerably as the %RH was increased. Also, the relative film shrinkage varied considerably with varying % RH. Several possible mechanisms for the dependence of the reaction rate and thickness loss on % RH were investigated. For KRS-XE, the deprotection reaction kinetics were found to increase as the % RH was increased, which was an expected trend. For UV6 and the tBOC-PHOST copolymer, no change in deprotection reaction rate was observed with changes in %RH.
The perpetual advancement of materials and equipment for microlithography has resulted in reduction of critical dimensions to scales approaching the size of the molecules that constitute a photoresist. As a result, molecular scale effects such as line edge roughness have become an increasing concern for resist manufacturers and process engineers alike. Computer simulation of lithography has become an integral tool for both process optimization and development of new technologies. However, these simulation tools are generally based upon continuum approximation of the resist material, and are therefore unable to investigate molecular level variations. In this work we investigate the increasing importance of molecular level effects, especially in terms of the contributions of the post exposure bake (PEB) to feature roughness. A linkage has been made between a previously reported mesoscale simulation of the post exposure bake. The mesoscale simulation models discrete transport and reaction events during the post exposure bake to determine solubility variations on the scale of a single oligomeric chain. These solubility variations are then imported into PROLITH and transformed into photoresist topography using the familiar Mack dissolution model. This method has been used to simulate line-edge formation in an APEX-type resist. It is found that the distribution of photoproducts produced during exposure can lead to significant solubility variations during the PEB. These solubility variations can become manifest as roughness of resist feature topogrpahy.
(alpha) -Fluoroalcohols have been proposed as transparent, base-soluble functional groups for use in the design of new 157 nm photoresist polymers. The two most common and easily prepared fluoroisopropanol groups are bis-trifluoromethyl carbinols (hexafluoroalcohol) and methyl-trifluoromethyl carbinols (trifluoroalcohol). This paper describes studies designed to assess the suitability of both of these functionalities as acidic groups. Dissolution rate studies were carried out on polystyrene films that incorporate these groups. The dissolution rates of the sample polymers were compared to that of poly(hydroxystyrene) (PHOST) to provide a reference for the measurements. It was found that the trifluoroalcohol polymers do not exhibit any solubility in basic media, while the hexafluoroalcohol polymers dissolve rapidly relative to PHOST in 0.13N TMAH. Further, it was found that the two fluoroalcohol polymers can be blended to adjust the inherent dissolution rate of the resin and that the hexafluoroalcohol polymer is sensitive to incorporation of classical dissolution inhibitors. The study concludes that hexafluoroalcohol is a promising candidate for incorporation into the design of 157 nm photoresists.
This work focuses on understanding the dissolution phenomenon of surface inhibition, which is observed often in the development of novolac based resists. Many theories have been offered to explain this phenomenon, including a concentration gradient of resist components, oxidation of the surface, formation of a gel layer, and surface roughness effects. This work focuses on theories that propose a concentration gradient in resist components. A technique has been established to separate and analyze individual layers of thin films, and the concentration gradient in many resist components (residual solvent, low molecular weight chains, photoactive compound, density) has been compared to the observed dissolution rate. The results indicate that no significant concentration gradients exist in a 1mm novolac film, and that these hypotheses are inadequate to explain surface inhibition. Several other theories are explored, including oxidation of the surface, surface roughness effects, etc. The critical ionization dissolution model may offer an explanation for why surface inhibition is observed in novolac, but typically not in poly(p-hydroxystyrene).
KEYWORDS: Polymers, Computer simulations, Molecules, Diffusion, Lithography, Monte Carlo methods, Photoresist materials, Performance modeling, Deep ultraviolet, Polymer thin films
Computer simulation of microlithography is a valuable tool for both optimization of current processes and development of advanced techniques. The capability of a computer simulation is limited by the accuracy of the physical model for the process being simulated. The post exposure bake (PEB) of a deep-ultraviolet resist is one process for which an accurate physical model does not exist. During the PEB of a deep- ultraviolet resist, mass transport of photogenerated acid allows a single acid molecule to catalyze several deprotection reactions. Unfortunately, lateral transport of acid into unexposed regions of the resist complicates control over the critical dimension of printed features. An understanding of the factors that contribute to acid mobility would allow resist manufacturers to tailor resist transport properties to their needs. Molecular level models are particularly valuable when attempting to examine mechanistic phenomena and offer the best possibility of accurately predicting lithographic performance based upon the chemical formulation of a resist. This work presents a new, molecular scale simulation of the acid generation and transport process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.