Today, 22nm node devices are built using 193nm immersion lithography, possibly combined with double patterning
techniques. Some stretch till the 16nm node is feasible here, using double, triple or even quadruple patterning.
Alternatively, extreme ultra violet (EUV) lithography is showing promising results, and is considered to be the most
likely option for this last mentioned device node. Electrically functional 22nm node devices are already available, where
EUV lithography is used for the definition of the back-end layers. Fewer results are published on the patterning of front-end
layers using EUV lithography.
In this work, EUV lithography is used for the patterning development of the first four critical layers (active or fin, gate,
contact and metal1) of a 16nm node 6T-SRAM cell. For the first time, front-end layers will need to be printed, with
EUV, and transferred into an underlying substrate. The need for optical proximity correction is checked and
characterized for all layers.
The use of customized illumination modes is part of the pursuit to stretch the applicability of immersion ArF lithography. Indeed, a specific illumination source shape that is optimized for a particular design leads to enhanced imaging results. Recently, freeform illumination has become available through pixelated diffractive optical elements or through ASML's programmable illuminator system (FlexRayTM) allowing for virtually unconstrained intensity distribution within the source pupil. In this paper, the benefit of freeform over traditional illumination is evaluated, by applying source mask co-optimization (SMO) for an aggressive use case and wafer-based verification. For a 22-nm node SRAM of 0.099 and 0.078 μm2 bit cell area, the patterning of the full contact and metal layer into a hard mask is demonstrated with the application of SMO and freeform illumination. In this work, both pixelated diffractive optical elements and FlexRay are applied. Additionally, the match between the latter two is confirmed on wafer, in terms of critical dimension and process window.
In current and next generation nodes lithography is pushed to low k1 lithography imaging regimes. A gridded design
approach with lines and cuts has previously been shown to allow optimizing illuminator conditions for critical layers in
logic designs.[1] The approach has shown good pattern fidelity and is expected to be scalable to the 7nm logic node. [2]
A regular pattern for logic makes the optimization problem straightforward if only standard cells are used in a chip.[3,4]
However, modern SOC's include large amounts of SRAM as well. The proposed approach truly optimizes both, instead
of the conventional approach of sacrificing the SRAM because of logic layouts with bends and multiple pitches.
The biggest problem in co-optimizing logic cells and SRAM bit cells is the orientation of critical layers. For SRAMs, the
gate and metal1 layers have lines in parallel directions, while in standard cells they are perpendicular. This would require
abandoning dipole illumination for the combined optimization, and at best using some form of quadrupole.
The alternative is to design the logic and SRAMs to be unified from the beginning. In this case, critical layer orientations
as well as pitches could be matched and each of the layers optimized for both functional sets of patterns. Choices of
patterns can be made to achieve DSMO (Design-Source-Mask-Optimization).
In the 28nm to 22nm logic nodes - with contacted pitches from 110nm to 90nm and metal1 pitches from 90nm to 70nm
- one of the questions to answer is when and for which layers double patterning is needed. The limit of single patterning
immersion lithography can only be explored through a smart combination of restricted designs and powerful sourcemask
optimization tools. In this paper a 28nm SRAM block with bit and word line periphery will be used to look at
choices for Design-Source-Mask-Optimization.
The use of customized illumination modes is part of the pursuit to stretch the applicability of immersion ArF lithography.
Indeed, a specific illumination source shape that is optimized for a particular design leads to enhanced imaging results.
Recently, freeform illumination has become available through pixelated DOEs or through FlexRayTM, ASML's
programmable illuminator system, allowing for virtually unconstrained intensity distribution within the source pupil.
In this paper, the benefit of freeform over traditional illumination is evaluated, by applying source mask co-optimization
(SMO) for an aggressive use case, and wafer-based verification. For a 22 nm node SRAM of 0.099 μm² and 0.078 μm2
bit cell area, the patterning of the full contact and metal layer into a hard mask is demonstrated with the application of
SMO and freeform illumination. In this work, both pixelated DOEs and FlexRay are applied. Additionally, the match
between the latter two is confirmed on wafer, in terms of CD and process window.
Over the last couple of years a lot of attention has gone to the development of new Litho-Process-Litho-Etch (LPLE)
double patterning process alternatives to Litho-Etch-Litho-Etch (LELE) or Spacer-Defined Double Patterning
(SDDP)[3,5,6]. Much progress has been made on the material side to improve the resolution of these processes and
imaging down to 26nm and even 22 nm 1:1 Lines/Spaces has been demonstrated[1,2,13]. This shows that from a resolution
point of view these processes can bridge the gap between ArF immersion single patterning and EUV lithography. These
results at small pitches are typically obtained using dipole illumination making them only useful for one pitch-one
orientation. Applying the combination of double patterning and dipole illumination is thus limited to regular line/space
gratings. For this paper, the patterning of more random 2D and through pitch designs is investigated using the double
patterning LPL alternatives for the POLY layer in combination with annular illumination. Fundamental behaviors of the
freezing schemes that affect the patterning performance for logic applications are discussed.
In this paper we study interactions of double patterning technology (DPT) with lithography, optical proximity correction (OPC) and physical design flows for the 22-nm device node. DPT methods decompose the original design intent into two individual masking layers, which are each patterned using single exposures and existing 193-nm lithography tools. Double exposure and etch patterning steps create complexity for both process and design flows. DPT decomposition is a critical software step that will be performed in physical design and also in mask synthesis. Decomposition includes cutting (splitting) of original design intent polygons into multiple polygons, where required, and coloring of the resulting polygons. We evaluate the ability to meet key physical design goals, such as reduce circuit area, minimize relayout effort, ensure DPT compliance, guarantee patterning robustness on individual layer targets, ensure symmetric wafer results, and create uniform wafer density for the individual patterning layers.
Double Patterning allows to further extend the use of water immersion lithography at its maximum numerical aperture NA=1.35. Splitting of design layers to recombine through Double Patterning (DP) enables an effective resolution enhancement. Single polygons may need to be split up (cut) depending on the pattern density and its 2D content. The split polygons recombine at the so-called 'stitching points'. These stitching points may affect the yield due to the sensitivity to process variations. We describe a methodology to ensure a robust double patterning by identifying proper split- and design- guidelines. Using simulations and experimental data, we discuss in particular metal1 first interconnect layers of random LOGIC and DRAM applications at 45nm half-pitch (hp) and 32nm hp where DP may become the only timely patterning solution.
For keeping pace with Moore's Law of reducing the feature sizes on integrated circuits, the driving forces have been
reductions in the exposure-tool wavelength, and increases in the lens numerical aperture (NA). With extreme ultra-violet
(EUV) lithography and 3rd-generation immersion delayed for production use, these driving forces are now stalled at a
wavelength of 193 nm and an NA of 1.35. Therefore, double-patterning technology (DPT) is needed for printing 22 nm
device node features. With DPT, a 22 nm layout is split into two patterns. Each pattern is printed using 32 nm node
lithography technology, and the original pattern is recovered by a logical summation (the Boolean OR operation) of
these two separately exposed patterns. DPT presents several challenges for printability verification. First, the etch target
can be very different from the resist target because significant biasing is used to improve the lithography process
window. Second, overlaps between the two patterns produce new problems such as sharp-cornered pinching at pattern
junctions, and bridging between patterns. Finally, there are additional process variations: misalignment between the two
patterns, and twice as many dose and defocus dimensions. We present results from a full-chip DPT-verification tool that
addresses these challenges. We also provide examples of lithography problems that are specific to DPT, and describe
possible guidance for the resolution enhancement techniques (RET) and design tools.
Double Patterning is investigated at IMEC as a timely solution to meet the 32nm node requirements. It further extends
the use of water immersion lithography at its maximum numerical aperture NA=1.35. The aim of DP is to make dense
features possible by splitting a design into two more sparse designs and by recombining into the target pattern through a
double patterning flow (stitching). Independently of the implementation by the EDA vendors and designers, we discuss
some guidelines for split and for DP-compliant design to ensure a robust stitching through process variations. We focus
more specifically on the first metal interconnect patterning layer (metal1) for random logic applications. We use both
simulations and experiments to study the patterning of 2D split test patterns varied in a systematic way.
Double patterning technology (DPT) is one of the main options for printing logic devices with half-pitch
less than 45nm; and flash and DRAM memory devices with half-pitch less than 40nm. DPT methods
decompose the original design intent into two individual masking layers which are each patterned using
single exposures and existing 193nm lithography tools. The results of the individual patterning layers
combine to re-create the design intent pattern on the wafer. In this paper we study interactions of DPT with
lithography, masks synthesis and physical design flows. Double exposure and etch patterning steps create
complexity for both process and design flows. DPT decomposition is a critical software step which will be
performed in physical design and also in mask synthesis. Decomposition includes cutting (splitting) of
original design intent polygons into multiple polygons where required; and coloring of the resulting
polygons. We evaluate the ability to meet key physical design goals such as: reduce circuit area; minimize
rework; ensure DPT compliance; guarantee patterning robustness on individual layer targets; ensure
symmetric wafer results; and create uniform wafer density for the individual patterning layers.
KEYWORDS: Transistors, Line width roughness, Critical dimension metrology, Monte Carlo methods, Double patterning technology, Optical lithography, Device simulation, Electroluminescence, Etching, Very large scale integration
To ensure the continuation of the scaling of VLSI circuits for years to come, the impact of litho on performance of logic
circuits has to be understood. Using different litho options such as single or double patterning may result in different
process variations. This paper evaluates the impact of litho variations on the yield of SRAM cells. The exploration is
focused on six transistor SRAM cells (6T SRAM) which have to be printed with the highest possible density with good
yield to limit system's cost. Consequently, these cells impose the most stringent constraints on litho techniques.
An SRAM cell is yielding if it operates correctly like a memory device (functional yield) and the performance of the
cell is in spec for the chosen architecture (parametric yield). In this paper, different metrics for the stability, readability
and write-ability are used to define parametric yield. The most important litho-induced variations are illumination dose,
focus, overlay mismatch and line-edge roughness. Unwanted opens and shorts in the printed patterns caused by the
process variations will cause the cell to malfunction. These litho-induced variations also cause dimension offsets, i.e.
variations on transistors' widths and lengths, which reduces the stability, readability and write-ability of the cell, thereby
increasing parametric yield loss.
Litho simulators are coupled with a device parasitic extractor to simulate the impact of the litho offsets on the yield of
the SRAM cell. Based on these simulations guidance will be provided on the choice between different litho options.
Delays in equipment availability for both Extreme UV and High index immersion have led to a growing
interest in double patterning as a suitable solution for the 22nm logic node. Double patterning involves
decomposing a layout into two masking layers that are printed and etched separately so as to provide the
intrinsic manufacturability of a previous lithography node with the pitch reduction of a more aggressive
node. Most 2D designs cannot be blindly shrunk to run automatically on a double patterning process and so
a set of guidelines for how to layout for this type of flow is needed by designers. While certain classes of
layout can be clearly identified and avoided based on short range interactions, compliance issues can also
extend over large areas of the design and are hard to recognize. This means certain design practices should
be implemented to provide suitable breaks or performed with layout tools that are double patterning
compliance aware. The most striking set of compliance errors result in layout on one of the masks that is at
the minimum design space rather than the relaxed space intended. Another equally important class of
compliance errors is that related to marginal printability, be it poor wafer overlap and/or poor process
window (depth of focus, dose latitude, MEEF, overlay). When decomposing a layout the tool is often
presented with multiple options for where to cut the design thereby defining an area of overlap between the
different printed layers. While these overlap areas can have markedly different topologies (for instance the
overlap may occur on a straight edge or at a right angled corner), quantifying the quality of a given overlap
ensures that more robust decomposition solutions can be chosen over less robust solutions. Layouts which
cannot be decomposed or which can only be decomposed with poor manufacturability need to be
highlighted to the designer, ideally with indications on how best to resolve this issue. This paper uses an
internally developed automated double pattern decomposition tool to investigate design compliance and
describes a number of classes of non-conforming layout. Tool results then provide help to the designer to
achieve robust design compliant layout.
The fate of optical-based lithography hinges on the ability to deploy viable resolution enhancement techniques (RET).
One such solution is double patterning (DP). Like the double-exposure technique, double patterning is a decomposition
of the design to relax the pitch that requires dual masks, but unlike double-exposure techniques, double patterning
requires an additional develop and etch step, which eliminates the resolution degradation due to the cross-coupling that
occurs in the latent images of multiple exposures. This additional etch step is worth the effort for those looking for an
optical extension [1]. The theoretical k1 for a double-patterning technique of a 32nm half-pitch (HP) design for a
1.35NA 193nm imaging system is 0.44 whereas the k1 for a single-exposure technique of this same design would be 0.22
[2], which is sub-resolution. There are other benefits to the DP technique such as the ability to add sub-resolution assist
features (SRAF) in the relaxed pitch areas, the reduction of forbidden pitches, and the ability to apply mask biases and
OPC without encountering mask constraints.
Similarly to AltPSM and SRAF techniques one of the major barriers to widespread deployment of double patterning to
random logic circuits is design compliance with split layout synthesis requirements [3]. Successful implementation of
DP requires the evolution and adoption of design restrictions by specifically tailored design rules.
The deployment of double patterning does spawn a couple of issues that would need addressing before proceeding into a
production environment. As with any dual-mask RET application, there are the classical overlay requirements between
the two exposure steps and there are the complexities of decomposing the designs to minimize the stitching but to
maximize the depth of focus (DoF). In addition, the location of the design stitching would require careful consideration.
For example, a stitch in a field region or wider lines is preferred over a transistor region or narrower lines. The EDA
industry will be consulted for these sound automated solutions to resolve double-patterning sensitivities and to go
beyond this with the coupling of their model-based and process-window applications.
This work documented the resolution limitations of single exposure, and double-patterning with the latest hyper-NA
immersion tools and with fully optimized source conditions. It demonstrated the best known methods to improve design
decomposition in an effort to minimize the impact of mask-to-mask registration and process variance. These EDA
solutions were further analyzed and quantified utilizing a verification flow.
Single exposure capable systems for the 32nm 1/2 pitch (HP) node may not be ready in time for production. At the
possible NA of 1.35 still using water immersion lithography, one option to generate the required dense pitches is double
patterning. Here a design is printed with two separate exposures and etch steps to increase the pitch. If a 2x increase in
pitch can be achieved through the design split, double patterning could thus theoretically allow using exposure systems
conceived for the 65nm node to print 32nm node designs.
In this paper we focus on the aspect of design splitting and lithography for double patterning the poly layer of 32nm
logic cells using the Synopsys full-chip physical verification and OPC conversion platforms. All 32nm node cells have
been split in an automated fashion to target different aggressiveness towards pitch reduction and polygon cutting. Every
design split has gone through lithography optimization, Optical Proximity Correction (OPC) and Lithography Rule
Checking (LRC) at NA values of 0.93, 1.20, and 1.35. Final comparisons are based on simulations across the process
window. In addition, we have experimentally verified selected single-patterning problem areas on a 1.20 NA exposure
tool (ASML XT:1700Fi at IMEC). With this information, we establish guidelines for double patterning conversions
and present a new design rule for double patterning compliance checking applicable to full-chip scale.
In this paper the impact of overlay and CD uniformity specifications on device and SRAM cell functional and
parametric yield are analyzed. The variation of channel strain due to partial etching of the stress layer is determined,
and we find that including this effect in the device parametric yield leads to severe CDU and overlay requirements. The
method is applied to SRAM cells and memories, and it is shown that only the co-optimization of SRAM cell layout,
CDU and overlay can increase the number of good dies per wafer.
The purpose of this paper is to use measurements on real working devices to derive more information than typically measured by the classic line-width measurement techniques.
The first part of the paper will discuss the principle of the measurements with a ring oscillator, a circuit used to measure the speed of elementary logic gates. These measurements contribute to the understanding of the exact timing dependencies in circuits, which is of utmost importance for the design and simulation of these circuits. When connecting an odd number of digital inverting stages in a ring, the circuit has no stable digital state but acts as an analog oscillator with the oscillation frequency dependent on the analog propagation delay of the signals through the stages. By varying some conditions during a litho step, the delay change caused by the process condition change can be measured very accurately. The response of the ring oscillator delay to exposure dose is measured and presented in this paper together with a comparison of measured line-width values of the poly gate lines.
The second part of the paper will focus on improving the intra-wafer variation of the stage delay. A number of ring oscillators are put in a design at different slit and scan locations. 200mm wafers are processed with 48 full dies present. From the intra-wafer delay fingerprint and the dose sensitivity of the delay an intra-wafer dose correction, also called a dose recipe, is calculated. This dose recipe is used on the scanner to compensate for effects that are the root cause for the delay profile; including reticle and processing such as track, etch and annealing.
A target of the 45nm node development at IMEC is to produce a working 6-transistor SRAM (6-T SRAM) cell. Here we describe the lithographic solutions for this challenge.
Following the requirements of the ITRS Roadmap requires challenging k1 values. A classical 6-transistor SRAM design is difficult to scale to lower k1 values for imaging and overlay reasons. In this paper we discuss the litho friendly design that was used to originally produce a working 0.314μm2 45nm node 6-transistor SRAM cell. The design was scaled to a k1 value of 0.31 for printing the active area layer on a 0.75NA ArF scanner at IMEC. Later on this was further scaled to a k1 of 0.280 and a cell size of 0.274μm2 for a working cell and imaging with a k1 of 0.265 on a higher NA tool.
Various resolution enhancement techniques have been used for the three most critical layers of the SRAM cell: active area, poly gates and contact holes.
Although designed unidirectional, the active area and the poly layer of the SRAM cell have critical features in two directions and therefore choosing the right illuminator shape is not straightforward. A pupil shape optimizer was used to maximize the contrast of the aerial image of the various critical features in these layers.
For the contact layer the minimal pitch in the design is 160nm, which corresponds to a k1 of 0.31. The pattern was split up into two images to increase the minimum pitch for the imaging to 190nm. Since off-axis illumination is used to print the 190nm pitch, assist features are added to the more sparse features. Contacts are not placed on a regular rectangular grid and additionally non-square contacts are used for local interconnects. This complicates the placement of the assist features and the interference mapping lithography (IML) technology was used to help in this task. The split design has been used in a double patterning approach in the SRAM process flow.
In this paper we show that all the above-mentioned resolution enhancement techniques have been successfully integrated and that it resulted in a working 45nm node SRAM cell.
With the decreasing gate length and pitch, line-width variations on the mask become more and more important. In low k1 imaging situations often a high Mask Error Enhancement Factor (MEEF) is present and optical proximity correction (OPC) is used to correct for printed CD variation through pitch. In such situations it is often not clear what the impact of reticle line-width variations is on the performance of the litho process and what the interaction is with other process variations present in the litho process.
In this manuscript a method will be explained to derive the impact of the reticle CD deviations on the process windows for low k1 imaging. This method will allow varying the various reticle specifications: the CD mean-to-target and uniformity, the writing grid size and the pitch proximity effect during reticle fabrication. By varying these input parameters and looking at the corresponding process window reductions a more founded decision can de made about the specification for these parameters when ordering reticles.
After explaining the method also a reality check will be done for a 100nm CMOS process with a modern 193nm resist on a high NA (.63 and 0.75) ArF scanner.
Lithography at its limit of resolution is a highly non- linear pattern transfer process. Typically the shapes of printed features deviate considerably from their corresponding features in the layout. This deviation is known as Optical Proximity Effect, and its correction Optical Proximity effect Correction or OPC. Although many other so-called optical enhancement technologies are applied to cope with the issues of lithography at its limit of resolution, almost none of these can re-store the linearity of the pattern transfer. Hence fully functional OPC has become a very basic requirement for current and future lithography processes. In general, proximity effects are two-dimensional (2d) effects. Thus any measurement of proximity effects or any characterization of the effectiveness of OPC has to be two- dimensional. As OPC modifies shapes in the data for mask writing in a way to compensate for the expected proximity effects of the following processing steps, parameters describing the particular OPC-mask quality is a major concern. One-dimensional mask specifications, such as linewidth mean-to-target and uniformity, pattern placement, and maximum size of a tolerable defect, are not sufficient anymore to completely describe the functionality of a given mask for OPC. Two-dimensional mask specifications need to be evaluated. We present in this paper a basic concept for 2d metrology. Examples for 2d measurements to assess the effectiveness of OPC are given by the application of an SEM Image Analysis tool to an advanced 130nm process.
A complete evaluation of the optical proximity effects (OPE) and of their corrections (OPC) requires a quantitative description of two-dimensional (2D) parameters, both at resist- and at reticle-level. Because the 2D behaviour at line-ends and at line-corners can become a limiting factor for the yield, it should be taken into account when characterising a process, just as the CD- and pitch-linearity are already kept under control. This implies the measurement of 2D-metrics in a precise way. We used an SEM Image Analysis tool (ProDATA SIAM) to define and measure various OPC-relevant metrics for a C013 process.
For the METAL (M1) process, we show that the overlap between line-ends of M1-trenches and underlying nominal contacts is a relevant metric to describe the effectiveness of hammerheads. Moreover, it is an interesting metric to combine with the CD process window. For the GATE process, we demonstrate that for a given set of metrics there is a degree of OPC aggressiveness beyond which it is not worth to go. We considered both line-end shortening (LES) and corner rounding affecting the poly linewidth close to a contact pad, and this on various logic circuits having received different degrees of fragmentation. Finally the knowledge of the actual line-end contour on the reticle allows one to simulate separately the printing effect of that area loss at reticle line-ends. The area loss measured by comparing the extracted contour to the target one is regarded as a combination of pull-back and area loss at corners. For our C013 gate process, and for the 130nm lines at a 1:1.25 duty cycle, those two parameters contribute together to approximetely 40% of the measured LES in the resist. This fact raises the question of specifications on 2D reticle parameters. We also find a linear correlation between the area loss at reticle line-end corners and the corresponding increase of LES on the wafer, which suggests a way towards putting specifications on the reticle line-ends.
Mask error effects of attenuated PSM wafer CD and process windows were analyzed by simulation, and proven experimentally for dense line applications. Among possible mask errors, mask CD variations dominate wafer CD control like a conventional binary mask, but phase and transmission errors are also significant especially when a defocus condition is applied. There is an apparent trend of MEEF versus mask bias. It is increased as mask bias goes towards the positive direction, i.e., overexposure condition, where process window can be maximized. Therefore mask bias should be chosen carefully on the basis of small MEEF as well as large process window.
A methodology will be presented to use a state-of-the art lithographic simulator to simulate 2D mask patterns and to look at the impact of exposure dose, focus, local reticle CD error and aberrations. This methodology will be applied to a few isolated patterns and a few dense(r) patterns with 1 to 3 aspect ratio line segments. Two line-widths will be simulated with the accompanying illumination condition (130nm with annular and 100nm with quadrupole illumination) with 193nm wavelength and the results will be presented in this paper.
The first 193 nm lithography processes using model-based OPC will soon be in production for 0.13 micrometer technology semiconductor manufacturing. However, the relative immaturity of 193 nm resist, etch and reticle processes places considerable strain upon the OPC software to compensate increased non-linearity, proximity bias, corner rounding and line-end pullback. We have evaluated three leading model-based OPC software packages with 193 nm lithography on random logic poly gate designs for the 0.13 micrometer generation. Our analysis has been performed for three different OPC reticle write processes, two leading 193 nm resists and multiple illumination conditions. The results indicate that the maturity of the model-OPC software tools for 193 nm lithography is generally good, although specific improvements are recommended.
An overview will be given of the increasing reticle quality needs, based on the 193nm lithography program ongoing at IMEC, with special focus on the 100nm node. When benchmarked against high NA 248nm, 193nm offers an advantage for the 130nm node, as less aggressive resolution enhancements are required. For decreasing k1-factor, there is also a need to cope with an increasing mask error factor. The CD uniformity needs to be tightened. Likely, it is required to keep proximity effects and linearity issues on reticles under control. Extending from linewidth control to pattern fidelity, new metrology concepts are being suggested, which will allow to come-up with a quantitative result. Especially for the implementation of aggressive OPC there is a need to consider the mask quality in many more aspects then just those typically taken into account so far. This will allow an assessment of the printing performance of real reticles, taking limitations of the achieved pattern fidelity caused by the mask making process into account.
Today we see that 248nm lithography is pushed to the region of very low k1-factors. The first 193nm systems are now on the market, but the technology needs still needs to mature before its optimum performance can be reached. On the other hand, development of 157nm systems has been started in order to push optical lithography to the 100nm and 70nm nodes. In this paper simulations are used to show how far optical lithography could be extended assuming mature tools and resists. The simulations are performed using Prolith/2 and Solid-C in combination with Monte Carlo calculations to predict ED-windows and CD control at 193nm and 157nm illumination. Different resolution enhancement techniques are invested for dense and isolated lines and contact holes: off-axis illumination, phase shifting masks and high NA settings. Once the optimum NA-sigma combinations for maximum process windows are determined, CD control is calculated by taking into account variations in focus, dose, reticle CD and phase and lens aberrations. From these CD control calculations the most important contributions to CD variations for the different RET can be identified, showing also where restrictions have to be put to obtain sufficient CD control.
In the same way as 248 nm lithography is now being pushed to 0.15 and even 0.13 micrometers technologies, 193 nm lithography is expected to be used for printing the 0.1micrometers technologies. In this paper, we show results of a simulation study using Prolith 6.0 to investigate the feasibility of printing the 0.1 micrometers dense lines and spaces and 70 nm isolated lines. Since good resists models for 193 nm lithography are not available yet, we extrapolated 248 nm resists models to 193nm and 157nm illumination. First the optimum NA/sigma settings are obtained for printing those features in different illumination modes. Therefore binary masks versus phase shifting techniques and conventional versus off-axis illumination are compared. Maximum DOF and EL for a system without lens aberrations are the main optimization criteria. Consequently CD variations is calculated when a full set of aberrations is taken into account. This realistic set of aberrations has been obtained by scaling down Zernike coefficients measured in 248nm systems and scaled at different RMS levels. Besides lens aberrations also stochastic variations in focus, exposure dose and reticle CD and phase are assumed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.