193i SAQP has allowed industry for continued BEOL metal pitch scaling, but as metal pitches become even tighter EUV SADP becomes an interesting alternative. In this context we have explored within our dual damascene 3ML test vehicles how the EUV SADP process compares to 193i SAQP for printing MP21 M2 lines. Our first EUV SADP results already show a better wafer CDU compared to our POR 193i SAQP process.
The use of a 4F2 cell configuration which enables higher densification is common in emerging memory devices. The pitch scaling and the robustness of these devices mainly rely on the patterning of the orthogonal array vertical pillar process. In this paper, we screen several lithography process approaches to optimize the 40nm pitch pillar patterning using single exposure EUV (extreme ultraviolet) lithography. The results show that with the optimized 40nm pitch process roughly 0.6nm 3-Sigma WCDU (wafer critical dimension uniformity) and 1.4nm 3-Sigma LCDU (local critical dimension uniformity) can be obtained post-litho for 21.1nm mean CD (critical dimension). Post-etch patterning with the best process shows 1.8nm 3-Sigma WCDU and 1.3nm 3-Sigma LCDU at 17.2nm mean CD. Smaller pitches have also been explored to identify the limits of the single EUV lithography process. Structures at 34nm pitch have shown high amount of pillar collapse. For 36nm pitch, on the other hand, a reasonable litho performance could be obtained with slightly boosted CD. The post-litho results show that with the optimized 36nm pitch process 0.4nm 3-Sigma WCDU and 1.4nm 3-Sigma LCDU can be obtained for 19.1nm mean CD.
The goal of this work is to prepare process readiness towards High NA EUV lithography, by using 0.33NA exposures on
NXE3400B scanner. We focus on photoresists, underlayers and etch processes mitigation of P24nm Line Space patterns.
Etch transfer has been validated for Metal Oxide Resist (MOR). Furthermore, we investigate challenges to accelerate
Chemically Amplified Resist (CAR) P24nm Line Space processes. Also, here, promising patterning results have been
achieved. Thin film metrology-friendly methods like Atomic Force Microscopy (AFM) have been performed to
characterize and improve the CAR-based etch processes.
We present an experimental study of pattern variability and defectivity, based on a large data set with >112 million critical dimension (CD) and via area measurements from a Hermes Microvision Inc. (HMI) high-throughput e-beam tool. The test case is a 10-nm node static random-access memory via array patterned with a deep ultraviolet immersion litho-etch-litho-etch process, where we see a variation in mean size and litho sensitivities between different unique via patterns that leads to significant differences in defectivity. The large data volume made available by high-throughput inspection capability of the HMI eP5 tool enables analysis to reliably distinguish global and local CD uniformity variations, including a breakdown into local systematics and stochastics. From a closer inspection of the tail end of the distributions and estimation of defect probabilities, we conclude that there is a common defect mechanism and defect threshold despite the observed differences of specific pattern characteristics. In addition, we studied wafer fingerprints for both global CD uniformity (GCDU) and local CD uniformity (LCDU), including stochastics. We used LCDU and GCDU wafer maps to identify correlations between those parameters and defect count. We expect that the analysis methodology presented can be applied for defect probability modeling as well as general process qualification in the future.
We present an experimental study of pattern variability and defectivity, based on a large data set with more than 112 million SEM measurements from an HMI high-throughput e-beam tool. The test case is a 10nm node SRAM via array patterned with a DUV immersion LELE process, where we see a variation in mean size and litho sensitivities between different unique via patterns that leads to a seemingly qualitative differences in defectivity. The large available data volume enables further analysis to reliably distinguish global and local CDU variations, including a breakdown into local systematics and stochastics. A closer inspection of the tail end of the distributions and estimation of defect probabilities concludes that there is a common defect mechanism and defect threshold despite the observed differences of specific pattern characteristics. We expect that the analysis methodology can be applied for defect probability modeling as well as general process qualification in the future.
In the advent of multiple patterning techniques in semiconductor industry, metrology has progressively become a burden. With multiple patterning techniques such as Litho-Etch-Litho-Etch and Sidewall Assisted Double Patterning, the number of processing step have increased significantly and therefore, so as the amount of metrology steps needed for both control and yield monitoring. The amount of metrology needed is increasing in each and every node as more layers needed multiple patterning steps, and more patterning steps per layer. In addition to this, there is that need for guided defect inspection, which in itself requires substantially denser focus, overlay, and CD metrology as before. Metrology efficiency will therefore be cruicial to the next semiconductor nodes. ASML's emulated wafer concept offers a highly efficient method for hybrid metrology for focus, CD, and overlay. In this concept metrology is combined with scanner's sensor data in order to predict the on-product performance. The principle underlying the method is to isolate and estimate individual root-causes which are then combined to compute the on-product performance. The goal is to use all the information available to avoid ever increasing amounts of metrology.
Patterning solutions based on ArF immersion lithography are the fundamental enablers of device scaling. In order to meet the challenges of industry technology roadmaps, tool makers in the DUV lithography area are continuously investigating all of the interactions between equipment parameters and patterning in order to identify potential margins of improvement. Cymer, a light source manufacturer, is fully involved and is playing a crucial role in these investigations. As demonstrated by recent studies[1], a significant improvement to multiple patterning solutions can be achieved by leveraging light source capabilities. In particular, bandwidth is a key knob that can be leveraged to improve patterning. While previous publications[1,2] assessed contrast loss induced by increased bandwidth, this work will expand the research in the opposite direction and will investigate how patterning can be affected by improved image contrast achieved through a reduction in bandwidth. The impact of lower bandwidth is assessed using experimental and simulation studies and provide persuasive results which suggest continued studies in this area.
As ArF immersion lithography continues to be extended by adopting multi-patterning techniques, imaging requirements continue to become more stringent [1-3]. For multiple patterning based logic devices, the optimal printability is not only driven by the optimization of the optical proximity correction (OPC), but also by complex process factors, such as resist, exposure tool, and mask-related error performance levels. In addition the light source plays a crucial role; it has been widely demonstrated [4-8] how changes in the E95 bandwidth can significantly lead to changes in on wafer patterning due image contrast changes. Cymer has developed novel computational and experimental approaches to enable process characterization studies [9-11]. Using these techniques, simulations were used to assess how E95 bandwidth changes can erode the CDU budget on ≤ 20 nm logic features. Using the results of these simulations, experimental conditions were defined to study the on wafer impact of light source performance on an imec N10 Logic-type test vehicle via six different Metal 1 Logic features. The imaging metrics used to track patterning response are process window (PW), line width roughness (LWR), and local critical dimension uniformity (LCDU).
Lithography process window (PW) and CD uniformity (CDU) requirements are being challenged with scaling across all device types. Aggressive PW and yield specifications put tight requirements on scanner performance, especially on focus budgets resulting in complicated systems for focus control. In this study, an imec N10 Logic-type test vehicle was used to investigate the E95 bandwidth impact on six different Metal 1 Logic features. The imaging metrics that track the impact of light source E95 bandwidth on performance of hot spots are: process window (PW), line width roughness (LWR), and local critical dimension uniformity (LCDU).
In the first section of this study, the impact of increasing E95 bandwidth was investigated to observe the lithographic process control response of the specified logic features. In the second section, a preliminary assessment of the impact of lower E95 bandwidth was performed. The impact of lower E95 bandwidth on local intensity variability was monitored through the CDU of line end features and the LWR power spectral density (PSD) of line/space patterns. The investigation found that the imec N10 test vehicle (with OPC optimized for standard E95 bandwidth of300fm) features exposed at 200fm showed pattern specific responses, suggesting areas of potential interest for further investigation.
The 20nm Metal1 layer, based on ARM standard cells, has a 2D design with minimum pitch of 64nm. This 2D design
requires a Litho-Etch-Litho-Etch (LELE) double patterning. The whole design is divided in 2 splits: Me1A and Me1B.
But solution of splitting conflicts needs stitching at some locations, what requires good Critical Dimension (CD) and
overlay control to provide reliable contact between 2 stitched line ends.
ASML Immersion NXT tools are aimed at 20 and 14nm logic production nodes. Focus control requirements become
tighter, as existing 20nm production logic layouts, based on ARM, have about 50-60nm focus latitude and tight CD
Uniformity (CDU) specifications, especially for line ends.
IMEC inspected 20nm production Metal1 ARM standard cells with a Negative Tone Development (NTD) process using
the Process Window Qualification-like technique experimentally and by Brion Tachyon LMC by simulations. Stronger
defects were found thru process variations. A calibrated Tachyon model proved a good overall predictability capability
for this process. Selected defects are likely to be transferred to hard mask during etch.
Further, CDU inspection was performed for these critical features. Hot spots showed worse CD uniformity than
specifications. Intra-field CDU contribution is significant in overall CDU budget, where reticle has major impact due to
high MEEF of hot spots. Tip-to-Tip and tip-to-line hot spots have high MEEF and its variation over the field. Best focus
variation range was determined by best focus offsets between hot spots and its variation within the field.
Kaidong Xu, Laurent Souriau, David Hellin, Janko Versluijs, Patrick Wong, Diziana Vangoidsenhoven, Nadia Vandenbroeck, Harold Dekkers, Xiaoping Shi, Johan Albert, Chi Lim Tan, Johan Vertommen, Bart Coenegrachts, Isabelle Orain, Yoshie Kimura, Vincent Wiaux, Werner Boullart
The approach for patterning 15-nm half-pitch (HP) structures using extreme ultraviolet lithography combined with self-aligned double patterning is discussed. A stack composed of a double hard mask, which allows decoupling photoresist transfer and trim, and an α-Si mandrel, which offers better mechanical properties during the mandrel and spacer patterning, is proposed. A break-down study with the patterning steps was performed to investigate the key contributors for improvement of linewidth roughness (LWR), line-edge roughness (LER), and critical dimension uniformity (CDU), targeting integrated solutions with lithography, etch, thin film deposition, and wet cleans for selected applications. Based on the optimization of these key patterning contributors, optimum LWR, LER, and CDU at 15 nm HP are demonstrated.
Spacer based SADP (Self-Aligned Double Patterning) is used increasingly in IC manufacturing as design rules outstrip the resolution capabilities of traditional single exposure lithography processes. In this paper, a 15nm half pitch SADP process based upon an EUV single exposure produced mandrel is modeled using commercial simulation software (PROLITH X4.2, KLA-Tencor corp.). Good accuracy is observed when the simulated results are compared to actual experimental results. Artifacts present in the final spacer pattern are clearly traceable to the resist imaging step.
K. Xu, L. Souriau, D. Hellin, J. Versluijs, P. Wong, D. Vangoidsenhoven, N. Vandenbroeck, H. Dekkers, X. Shi, J. Albert, C. Tan, J. Vertommen, B. Coenegrachts, I. Orain, Y. Kimura, V. Wiaux, W. Boullart
This paper discusses the approach for patterning 15nm Half Pitch (HP) structures using EUV lithography combined with Self-Aligned Double Patterning (SADP). A stack composed of a double hard mask, which allows decoupling photoresist transfer and trim, and an α-Si mandrel, which offers better mechanical properties during the mandrel and spacer patterning, is proposed. A break-down study with the patterning steps was performed to investigate the key contributors for improvement of LWR, LER and CDU, targeting integrated solutions with lithography, etch, thin film deposition, and wet cleans for selected applications. Based on the optimization of these key patterning contributors, optimum LWR, LER and CDU at 15nm HP are demonstrated.
In previous work, a rigorous physical model was developed to describe a thermal freeze LPLE (Litho-Process-
Litho- Etch) process. Subsequent experimental studies revealed a significant CD correlation between the CD of the litho
2 pattern and that of the litho 1 pattern, when the features are inter-digitated. Simulation of the experiment shows similar
behavior, although the predicted magnitude is incorrect. Experimentation with the model reveals that the behavior is
driven by three mechanisms; the mis-match of the index of refraction between the two resist, the acid/quencher diffusion
boundary between the resist materials and finally optical lensing effects caused by the non-planar surface of the second
resist as it covers the features defined in the first resist. Once the mechanisms are identified the model is recalibrated
with significantly improved accuracy.
Double Patterning (DP) is the most immediate lithography candidate for IC technologies requiring pitches below the
single exposure capabilities of today's ArF immersion scanners. Litho-Process-Litho-Etch (LPLE) double patterning
(DP) processes potentially offer substantial cost and throughput benefits over the more proven Litho-Etch-Litho-Etch
(LELE) approaches. However, LPLE DP approaches typically use a different resist for each lithography step and there
are many potential process and material interactions between the lithographic layers which could have an impact on
proximity effects after full DP flow.
In this work the impact of process and material interactions on proximity effects is investigated for a metal 1 double
trench LELE process and a poly double line LPLE process. The process windows for several pitches and proximity
behaviour of both pattern 1 and pattern 2 is studied. Results obtained from a single patterned wafer are compared with
results from a single patterned and double patterned area on a double patterned wafer.
The results reveal that for the LPLE case there are process window and proximity differences between single and double
patterned wafers showing the influence of a neighbouring line from another patterning step. The process window
differences do not just consist of a simple shift along the dose axis.
For a few specific cases the experimental results are compared to calibrated LPL Prolith model predictions. The Prolith
simulation model matches the experimental data and helps to distinguish between chemical, optical and processing
effects as the root cause of the observed differences.
In this work, a physical model is constructed to describe a thermal cure double patterning photoresist process.
The basic lithographic response of each photoresist can be accurately described using the conventional chemically
amplified resist modeling approach. Experimental data reveals that although the thermal cure process removes all
detectable photosensitivity from the imaged first resist, it does increase the materials solubility in the second resist
development process. It is theorized that this solubility change results from thermal de-protection of the resist polymer
during the cure. Introduction of a first-order thermal de-protection process to the model, results in simulations that match
the experimental data. Measurement of actinic optical properties show that the first resist remains optically stable during
its processing (in the regions remaining on the wafer after development) but that the BARC material undergoes
significant optical changes in open areas where the first resist has been removed. The calibrated process model is tested
against experimental data generated under other optical conditions; good quantitative and qualitative agreement is
observed and in one case the simulation results suggest a plausible mechanism for observed process failure.
Over the last couple of years a lot of attention has gone to the development of new Litho-Process-Litho-Etch (LPLE)
double patterning process alternatives to Litho-Etch-Litho-Etch (LELE) or Spacer-Defined Double Patterning
(SDDP)[3,5,6]. Much progress has been made on the material side to improve the resolution of these processes and
imaging down to 26nm and even 22 nm 1:1 Lines/Spaces has been demonstrated[1,2,13]. This shows that from a resolution
point of view these processes can bridge the gap between ArF immersion single patterning and EUV lithography. These
results at small pitches are typically obtained using dipole illumination making them only useful for one pitch-one
orientation. Applying the combination of double patterning and dipole illumination is thus limited to regular line/space
gratings. For this paper, the patterning of more random 2D and through pitch designs is investigated using the double
patterning LPL alternatives for the POLY layer in combination with annular illumination. Fundamental behaviors of the
freezing schemes that affect the patterning performance for logic applications are discussed.
Julien Beynet, Patrick Wong, Andy Miller, Sabrina Locorotondo, Diziana Vangoidsenhoven, Tae-Ho Yoon, Marc Demand, Hyung-Sang Park, Tom Vandeweyer, Hessel Sprey, Yong-Min Yoo, Mireille Maenhoudt
The inherent advantages of the Plasma-Enhanced Atomic Layer Deposition (PEALD) technologyexcellent
conformality and within wafer uniformity, no loading effectovercome the limitations in this domain of the standard
PECVD technique for spacer deposition. The low temperature process capability of PEALD silicon oxide enables direct
spacer deposition on photoresist, thus suppressing the need of a patterned template hardmask to design the spacers. By
decreasing the number of deposition and patterning steps, this so-called Direct Spacer Defined Double Patterning (DSDDP)
integration reduces cost and complexity of the conventional SDDP approach. A successful integration is reported
for 32 nm half-pitch polysilicon lines. The performances are promising, especially from the lines, which result from the
PEALD spacers: Critical Dimension Uniformity (CDU) of 1.3 nm and Line Width Roughness (LWR) of 2.0 nm.
With the introduction of immersion lithography into IC manufacturing for the 45nm node, pattern collapse and line
width roughness (LWR) remain critical challenges that can be addressed by implementing formulated surface
conditioners. Surface conditioners are capable of solving multiple issues simultaneously and are easily integrated into
the post-develop photolithography process.
In this paper, we assessed the impact and reported our findings using a formulated surface conditioning solution in an
immersion lithography process to improve the non-pattern collapse and LWR process windows on 300mm Si wafers
having 50 nm L/S features. The non-pattern collapse and LWR process window results were then compared to wafers
processed using traditional developer processing methods, a DI Water (DIW) rinse.
We report our findings using Focus Exposure Matrix (FEM) wafers having 50nm dense lines/spaces (L/S) and a 2.4:1
aspect ratio to determine the non-collapse and LWR process windows. An ASML TWINSCAN XT:1700TM Scanner
and a 6%attPSM mask were used to pattern the FEM and LWR wafers. The wafers were then developed using an
optimized developer recipe on an RF3iTM coater-developer track. Each wafer was analyzed and evaluated to determine
the impact to CD and LWR with respect to the non-pattern collapse process window
Formulated surface conditioners having dual capabilities, reduced pattern collapse and LWR, have demonstrated that
multiple ITRS Roadmap goals can be achieved and easily implemented into standard IC processing in order to meet
these challenges.
In this paper, the standard ASML process was optimized to reduce LineWidth Roughness (LWR) while minimizing the impact on other process performance criteria such as Depth Of Focus (DOF) and Exposure Latitude (EL). The impact of classical process optimization parameters such as post exposure bake temperature and post exposure bake time were investigated together with less often varied parameters such as hard bake temperature. These parameters were studied in conjunction with novel surface conditioners to reduce LWR. The results show that a significant reduction in the LWR number can be obtained by combining the application of a dedicated surface conditioner solution with the fine tuning of other parameters such as post exposure bake and hard bake temperature. Several process parameters had to be tuned simultaneously to retain a decent process window for the fine tuned process although some EL had to be sacrificed.
As pattern collapse and line width roughness (LWR) become critical lithography challenges, there is growing interest in applying surface conditioner solutions during the post-develop process to address BOTH these issues. In this paper, we patterned 90nm 1:1.2 lines/spaces (L/S) on 200mm wafers and 70nm dense lines on 300mm wafers to evaluate the combined performance of pattern collapse and LWR using newly formulated surface conditioners. The performance of each conditioner was compared to the standard formulation, which is capable of significant pattern collapse reduction, but affords no LWR improvement. These newly improved formulations enabled a ~20% LWR reduction for 90nm features and a ~10% LWR reduction for 70nm dense lines. In addition, the new formulations significantly enlarged the LWR and CD process windows for 70nm dense lines, as demonstrated by a 50% increase of maximum depth of focus (DOF) over the standard formulation.
In this paper we present a status overview of the development of 157-nm lithography. Solutions and challenges in the exposure system design are discussed. The solutions and challenges include optics, purging, and reticle handling issues. The impact of CaF2 birefringence (intrinsic and stress induced) on lens performance is evaluated. Experimental data on optical path purging and radiation cleaning is presented. The pellicle dilemma is reviewed, and feasibility of a thick glass plate pellicle is discussed. Additionally, a status summary on resist process development is given.
The data presented is from resist materials exposed on the 157-nm Microstepper at SEMATECH. Photoresist suppliers provided the first samples of materials in early 2001. During the remainder of 2001, several improved formulations were supplied and tested. Over the last year, the lithographic performance of thick chemistries has improved, but exposure latitude is still inferior compared to Ultra Thin Resist (UTR) samples. Recently, more transparent samples have appeared demonstrating performance that is close to that of UTRs in terms of processing latitudes. Although sub 100-nm imaging has been shown, limited 70-nm performance with the alternating PSM indicates that significant progress still has to be made before the resists are capable of the targeted 70-nm node with a high NA tool.
This paper investigates the impact of photoresist on sub- 100nm gate patterning performance using phase edge lithography. A selection of mostly commercial photoresists for both the 193nm and 248nm wavelength were compared for pattern collapse and photoresist profiles. An acrylic type 193nm photoresist yielded the largest aspect ratio; straight 50nm isolated lines were printed in 330 nm thick photoresist. The optimization for CD uniformity was driven by the isofocal position being dependent on photoresist characteristics, mask design parameters, and illumination conditions. The photoresists with low isofocal CD improved CD control except for mask designs using small phase widths. The optimized 193nm process yielded a 3(sigma) of 6nm CD uniformity over 400nm focus range for 70nm isolated lines when exposed on a PAS5500/950 Step&Scan system.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.