Lithography accounts for the highest total CO2 equivalent emissions for imec N3 technology node and the second highest emissions for imec N5 technology node. Additionally, the resolution-line edge roughness (LER)-sensitivity i.e., the RLS trade-off will push the EUV dose higher to improve lithographic performance. All these factors combined will result in increased costs and increased CO2 emissions as the industry shifts toward advanced technology nodes. Reducing the EUV exposures dose while maintaining LER and defectivity with respect to the reference process can be one of the most impactful ways to achieve cost reduction, throughput improvement and CO2 emission reduction. In this paper, we experimentally tested various ways to reduce EUV dose for CAR and MOR to print pitch 28nm line-space structures while minimizing the impact on LER and defectivity. The impact of several tuning knobs for dose reduction was explored: resist formulation, post-exposure pattern rectification, underlayer, post-exposure bake (PEB), development, mask CD bias and mask material type. Using only material and post-exposure process optimizations, up to 26% EUV dose reduction was observed with comparable performance in terms of line roughness and defectivity. Similarly, by optimizing the mask CD bias and mask material type, we can expect about 12% EUV dose reduction while also improving the peak normalized image log slope (NILS), as confirmed by simulation results. Finally, we will demonstrate that the ‘low dose process’ that we obtained, can be transferred from printing pitch 28 nm line-space structures to printing regular tip-to-tip structures with similar reduction in EUV dose at comparable tip-to-tip LCDU performance with respect to the reference process. It is critical that we consider the impact of these changes on the climate, so these process changes will also be evaluated relative to the baseline with respect to changes in equivalent CO2 emissions.
Advanced lithography is transitioning to the high-NA EUV era with highly valuable technological advancements. We explored the limits of hexagonal contact hole and pillar patterning for memory device by utilizing holistic patterning technology of materials and process optimization, pattern rectification by directed self-assembly of block copolymer, and phase shift mask with low-n that can maximize patterning resolution in 29nm pitch design, which is the inflection point of high-NA EUV patterning. Focusing on pattern transfer, we were able to secure contact hole patterning, contact hole DSA rectification and pillar patterning in the 0.33NA process. It was also confirmed that phase shift mask can improve local CD uniformity by more than 23% compared to binary mask. In addition, we demonstrated dose and local CD uniformity in 0.55NA EUV resulting from changes in stochastic impact following the imaging contrast improvement of 0.55NA. This paper presents our latest patterning experience for 29nm pitch hexagonal contact hole and pillar array patterning and outlook for the future transition to the high-NA EUV process.
Single-exposure extreme ultraviolet (EUV) lithography is quickly advancing as a replacement for argon fluoride immersion (ArFi)-based multiple patterning approaches for printing the most critical features in semiconductor devices. However, the dimensional scaling of EUV lithography patterns is hampered by stochastic effects, resulting in rough patterns and increased defectivity. A promising solution to mitigate these stochastic pattern variations is complementing top–down EUV lithography with bottom–up directed self-assembly (DSA) of block copolymers (BCPs). We investigated an EUV + DSA complementary process for the rectification of pitch 28-nm line/space (L/S) patterns on high-volume manufacturing compatible processing tools. We found that several DSA material and process parameters contribute to minimize the roughness of the rectified patterns. In particular, the BCP size and film thickness are the most critical parameters. In terms of defectivity, a combination of optical inspection and e-beam review pointed out that dislocations are not a major concern for EUV + DSA patterning due to the fast assembly kinetics. Instead, bridge and cluster defects are the main defect modes and minimum defectivity can be achieved by controlling the geometry of the guide pattern. Finally, the impact of pattern density multiplication by DSA was assessed by comparing the performance of the current EUV + DSA rectification process to the ArFi + DSA technology, both for generating a pitch 28 nm L/S pattern.
A large screening of underlayer materials for extreme ultraviolet lithography is reported in this work. The main motivation for the screening of functional materials lies in the search for dose reduction and defect mitigation. Some promising results shown in here prove that the usage of functionalized underlayers and primers improves the pattern quality without adding to the complexity of litho processing.
Continuous scaling by extreme ultraviolet (EUV) lithography is tightening the patterning requirements for photoresist materials. Specifically, chemically amplified resists (CAR) are facing significant challenges to keep supporting the patterning needs. In view of this, complementing EUV lithography with directed self-assembly (DSA) of block copolymers offers interesting opportunities to enable the use of CAR towards ultimate resolution. As DSA decouples the resist patterning performance from the final pattern quality, roughness and defects in the resist pattern can be rectified. Here, we discuss the impact of material and process parameters on the rectification performance by DSA, both for line-space and hexagonal contact hole arrays.
Shrinking pattern dimensions leads to an increased variety of defect types in semiconductor devices. This has spurred innovation in patterning approaches such as Directed Self-Assembly (DSA) for which no traditional, automatic defect inspection software exists. Machine Learning-based SEM image analysis has become an increasingly popular research topic for defect inspection with supervised ML models often showing the best performance. However, little research has been done on obtaining a dataset with high-quality labels for these supervised models. In this work, we propose a method for obtaining coherent and complete labels for a dataset of hexagonal contact hole DSA patterns while requiring minimal quality control effort from a DSA expert. We show that YOLOv8, a state-of-the-art neural network, achieves defect detection precisions of more than 0.9 mAP on our final dataset which best reflects DSA expert defect labeling expectations. We discuss the strengths and limitations of our proposed labeling approach and suggest directions for future work in data-centric ML-based defect inspection.
For printing the most critical features in semiconductor devices, single exposure extreme ultraviolet (EUV) lithography is quickly advancing as a replacement for ArF immersion-based multipatterning approaches. However, the transition from 193 nm to 13.5 nm light is severely limiting the number of photons produced by a given source power, leading to photon shot noise in EUV patterns. In addition, inhomogeneous distribution of components inside conventional photoresists is adding to the printing variability, especially when critical dimensions continue to shrink. As a result, stochastic issues leading to rough, non-uniform, and potentially defective patterns have become a major challenge for EUV lithography. A promising solution for this top-down patterning approach is complementing it with bottom-up directed self-assembly (DSA) of block copolymers. In combination with 193i lithography, DSA of lamellae forming block copolymers has previously shown favorable results for defining dense line-space patterns using LiNe flow.1 In this study, we investigate the complementarity of EUV + DSA for rectification of pitch 28 nm line-space patterns. Roughness and defectivity are critical factors that need to be controlled to make these patterns industrially relevant. We look at the impact of DSA material and processing parameters on line edge roughness and line width roughness in order to identify and mitigate the origins of pattern roughness. On the other hand, we also assess the different types of defect modes that are observed by means of optical defect inspection and ebeam review, and study the root causes for their formation. To wrap-up, the benefits of 1X DSA versus 3X DSA are presented by comparing EUV + DSA to LiNe flow.
Owing to photon shot noise and inhomogeneous distribution of the molecular components in a chemically amplified resist, resist patterns defined by extreme ultraviolet (EUV) lithography tend to suffer from stochastic variations. These stochastic variations are becoming more severe as critical dimensions continue to scale down, and can thus be expected to be a major challenge for the future use of single exposure EUV lithography. Complementing EUV lithography with directed self-assembly (DSA) of block-copolymers provides an interesting opportunity to mitigate the variability related to EUV stochastics. In this work, the DSA rectification process at imec is described for both line/space (L/S) and hexagonal contact hole (HEXCH) patterns. The benefits that rectification can bring, as well as the challenges for further improvement are being addressed based on the current status of imec’s rectification process.
As technology nodes continue to scale down, the full ecosystem around Extreme Ultraviolet Lithography (EUVL) is becoming more mature and proactive in the anticipation of upcoming challenges. To keep up with the technology roadmap evolution, lithography track performance capabilities have also been rapidly expanding through the years and new modules are being specially designed to support the lithographic performance improvement of different materials. In this work, we showcase the capability of novel hardware solutions currently available on SCREEN’s DT-3000 coat-develop track system. Based on a holistic approach, we demonstrate how hardware development is still a key not only to improve process stability and drive down defectivity to historically low levels but also to boost other metrics such as line width roughness (LWR), defect-free process window, and pattern shape.
Directed self-assembly (DSA) process has been introduced and developed for more than a decade as one of the alternative advanced patterning techniques in the semiconductor industry. Block copolymer (BCP) is self-assembling into the desired pattern on the lithographically defined pre-pattern on the wafer. Such a bottom-up approach is used to define the pattern which is typically hard to achieve with the traditional top-down approach. As an example, the density of the pattern can be increased with DSA by the factor of 3 or 4 from the 193i lithography pattern. Although similar dimension becomes now accessible with EUV lithography, DSA keeps its benefit; the structure is simply defined by the phase separation of materials rather than the complex light-matter interactions as required for EUV resist patterning. In this presentation, we will discuss the synergetic impact of the combination of EUV and DSA.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.