The constant improvement of critical pitch reduction to enable the next generation semiconductor technology node is the primary driver for innovation in semiconductor industry. Previous researches [1] have shown the benefits of EUVL to bring down the wafer manufacturing cost for imec 7nm technology node. Beyond the technology node (N node) that will use EUV single patterning to enable the critical layers, the critical pitch enablement would require the second generation of EUVL lithography (high NA EUV) or double patterning EUVL(EUVL-DP). In this paper, we have provided a comparison between the two alternatives in terms of cost. We explored patterning options that would enable a costfriendly 5nm logic (N+1 node). The goal is to analyze the alternatives beyond the current 0.33 NA EUVL single patterning limit.
The semiconductor scaling roadmap shows the continuous node to node scaling to push Moore’s law down to the next generations. In that context, the foundry N5 node requires 32nm metal pitch interconnects for the advanced logic Back- End of Line (BEoL). 193immersion usage now requires self-aligned and/or multiple patterning technique combinations to enable such critical dimension. On the other hand, EUV insertion investigation shows that 32nm metal pitch is still a challenge but, related to process flow complexity, presents some clear motivations.
Imec has already evaluated on test chip vehicles with different patterning approaches: 193i SAQP (Self-Aligned Quadruple Patterning), LE3 (triple patterning Litho Etch), tone inversion, EUV SE (Single Exposure) with SMO (Source-mask optimization). Following the run path in the technology development for EUV insertion, imec N7 platform (iN7, corresponding node to the foundry N5) is developed for those BEoL layers.
In this paper, following technical motivation and development learning, a comparison between the iArF SAQP/EUV block hybrid integration scheme and a single patterning EUV flow is proposed. These two integration patterning options will be finally compared from current morphological and electrical criteria.
While the semiconductor industry is almost ready for high-volume manufacturing of the 7 nm technology node, research centers are defining and troubleshooting the patterning options for the 5 nm technology node (N5) and below. The target dimension for imec’s N5 BEOL applications is 20-24 nm Metal Pitch (MP), which requires Self-Aligned multiple (Double/Quadruple/Octuple) Patterning approaches (SAxP) in combination with EUV or immersion lithography at 193 nm. There are numerous technical challenges to enable gratings at the hard mask level such as good uniformity across wafer, low line edge/width roughness (LER/LWR), large process window, and all of this at low cost. An even greater challenge is to transfer these gratings into the dielectric material at such critical dimensions, where increased line edge roughness, line wiggling and even pattern collapse can be expected for materials with small mechanical stability such as highly porous low-k dielectrics. In this work we first compare three different patterning options for 12 nm half-pitch gratings at the hard mask level: EUV-based SADP and 193i-based SAQP and SAOP. This comparison will be based on process window, line edge/width roughness and cost. Next, the transfer of 12 nm line/space gratings in the dielectric material is discussed and presented. The LER of the dielectric lines is investigated as a function of the dielectric material, the trench depth, and the stress in the sacrificial hard mask. Finally, we elaborate on the different options to enable scaling down from 24 nm MP to 16 nm MP, and demonstrate 8 nm line/space gratings with 193i-based SAOP.
In this work, we present and compare two integration approaches to enable self-alignment of the block suitable for the 5- nm technology node. The first approach is exploring the insertion of a spin-on metal-based material to memorize the first block and act as an etch stop layer in the overall integration. The second approach is evaluating the self-aligned block technology employing widely used organic materials and well-known processes. The concept and the motivation are discussed considering the effects on design and mask count as well as the impact on process complexity and EPE budget. We show the integration schemes and discuss the requirements to enable self-alignment. We present the details of materials and processes selection to allow optimal selective etches and we demonstrate the proof of concept using a 16- nm half-pitch BEOL vehicle. Finally, a study on technology insertion and cost estimation is presented.
This paper summarizes findings on the iN7 platform (foundry N5 equivalent) for single exposure EUV (SE EUV) of M1 and M2 BEOL layers. Logic structures within these layers have been measured after litho and after etch, and variability was characterized both with conventional CD-SEM measurements as well as Hitachi contouring method. After analyzing the patterning of these layers, the impact of variability on potential interconnect reliability was studied by using MonteCarlo and process emulation simulations to determine if current litho/etch performance would meet success criteria for the given platform design rules.
Moore's Law (Moore's Observation) has been driving the progress in semiconductor technology for the past 50 years.
The semiconductor industry is at a juncture where significant increase in manufacturing cost is foreseen to sustain the
past trend of dimensional scaling. At N10 and N7 technology nodes, the industry is struggling to find a cost-friendly
solution. At a device level, technologists have come up with novel devices (finFET, Gate-All-Around), material
innovations (SiGe, Ge) to boost performance and reduce power consumption. On the other hand, from the patterning
side, the relative slow ramp-up of alternative lithography technologies like EUVL and DSA pushes the industry to adopt
a severely multi-patterning-based solution. Both of these technological transformations have a big impact on die yield
and eventually die cost. This paper is aimed to analyze the impact on manufacturing cost to keep the Moore’s law alive.
We have proposed and analyzed various patterning schemes that can enable cost-friendly scaling. We evaluated the
impact of EUVL introduction on tackling the high cost of manufacturing. The primary objective of this paper is to
maintain Moore’s scaling from a patterning perspective and analyzing EUV lithography introduction at a die level.
At 7nm and beyond, designers need to support scaling by identifying the most optimal patterning schemes for their designs. Moreover, designers can actively help by exploring scaling options that do not necessarily require aggressive pitch scaling. In this paper we will illustrate how MOL scheme and patterning can be optimized to achieve a dense SRAM cell; how optimizing device performance can lead to smaller standard cells; how the metal interconnect stack needs to be adjusted for unidirectional metals and how a vertical transistor can shift design paradigms. This paper demonstrates that scaling has become a joint design-technology co-optimization effort between process technology and design specialists, that expands beyond just patterning enabled dimensional scaling.
KEYWORDS: Extreme ultraviolet lithography, Optical lithography, Lithography, Extreme ultraviolet, Semiconducting wafers, Semiconductors, Photomasks, Back end of line, Metals, Front end of line
Traditionally, semiconductor density scaling has been supported by optical lithography. The ability of the exposure tools to provide shorter exposure wavelengths or higher numerical apertures have allowed optical lithography be on the forefront of dimensional scaling for the semiconductor industry. Unfortunately, the roadmap for lithography is currently at a juncture of a major paradigm shift. EUV Lithography is steadily maturing but not fully ready to be inserted into HVM. Unfortunately, there are no alternative litho candidates on the horizon that can take over from 193nm. As a result, it is important to look into the insertion point of EUV that would be ideal for the industry from an economical perspective. This paper details the benefit observed by such a transition. Furthermore, it looks into such detail with an EUV throughput sensitivity study.
Extreme Ultra-Violet lithography (EUVL) is considered as the most promising candidate to replace optical lithography
from the 14nm technology node onwards. EUVL has recently been supplanted by multiple patterning using existing
193nm immersion lithography tools for upcoming 14 nm technology node due to the current resolution limitations and
production level efficiency restrictions. In this paper, a wafer cost model for technology node from 28nm down to 14nm
has been developed. It identifies lithography module as the key component where innovation can be leveraged to reduce
cost. The results presented in the paper reveal that wafer cost will be increased by 30% from 28nm to 20nm technology
node. A 70% increase in wafer cost is foreseen during a transition from 20nm to 14nm node based on 193nm immersion
lithography and multiple patterning. The cost analysis predicts a 30% wafer cost reduction by adapting EUVL at a 14 nm
technology node compared to 193nm immersion technology (normalized to 28nm wafer cost). It proves that the
readiness of EUVL is critical to keep scale the logic devices at the pace of Moore’s law without violating the scale of
economics in semiconductor industry.
This course explains how layout and circuit design interact with lithography choices. We especially focus on multi-patterning technologies such as LELE double patterning and SADP. We will explore role of design in lithography technology development as well as in lithographic process control. We will further discuss design enablement of multi-patterning technologies, especially in context of cell-based digital designs.
SC1187: Understanding Design-Patterning Interactions for EUV and DSA
EUV lithography and DSA haven been accepted by the industry as most promising candidates for dimensional scaling enablement at N7 technology node and beyond. This tutorial explains how introduction of such lithography technologies going to impact layout and circuit design. Choices of lithography would impact physical design and have a significant impact at system level. This tutorial will focus on transition from 193i multi-patterning technologies to EUV lithography and DSA. Factors that would determine on the enablement of these technologies would be highlighted and possible solutions would be shared.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.