Extreme ultraviolet (EUV) lithography has been recognized as a promising candidate for the manufacturing of semiconductor devices as LS and CH pattern for 7nm node and beyond. EUV lithography is ready for high volume manufacturing stage. For the high volume manufacturing of semiconductor devices, significant improvement of sensitivity and line edge roughness (LWR) and Local CD Uniformity (LCDU) is required for EUV resist. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). Especially high sensitivity and good roughness is important for EUV lithography high volume manufacturing.
We are trying to improve sensitivity and LWR/LCDU from many directions. From material side, we found that both sensitivity and LWR/LCDU are simultaneously improved by controlling acid diffusion length and efficiency of acid generation using novel resin and PAG. And optimizing EUV integration is one of the good solution to improve sensitivity and LWR/LCDU. We are challenging to develop new multi-layer materials to improve sensitivity and LWR/LCDU. Our new multi-layer materials are designed for best performance in EUV lithography system. From process side, we found that sensitivity was substantially improved maintaining LWR applying novel type of chemical amplified resist (CAR) and process. EUV lithography evaluation results obtained for new CAR EUV interference lithography. And also metal containing resist is one possibility to break through sensitivity and LWR trade off. In this paper, we will report the recent progress of sensitivity and LWR/LCDU improvement of JSR novel EUV resist and process.
Extreme ultraviolet (EUV) lithography has emerged as a promising candidate for the manufacturing of semiconductor devices at the sub-14nm half pitch lines and spaces (LS) pattern for 7 nm node and beyond. The success of EUV lithography for the high volume manufacturing of semiconductor devices depends on the availability of suitable resist with high resolution and sensitivity. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). In this paper, we investigated and developed new chemically amplified resist (CAR) materials to achieve sub-14 nm hp resolution. We found that both resolution and sensitivity were improved simultaneously by controlling acid diffusion length and efficiency of acid generation using novel PAG and sensitizer. EUV lithography evaluation results obtained for new CAR on Micro Exposure Tool (MET) and NXE3300 system are described and the fundamentals are discussed.
Extreme ultraviolet (EUV) lithography is a candidate for the manufacturing of semiconductor devices at the 22 nm half pitch node and below. EUV lithography requires high performance resist with limited outgassing property. The key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S) for lines and spaces (LS) features. To achieve high resist sensitivity EUV resist absorbance should be increased. Resin containing fluorine atom is one of the most attractive methods to improve absorbance level of EUV resist because the fluorine atom absorbs EUV light strongly. However, resist hydrophobicity (or high contact angle) also increase due to presence of fluorine atoms in the resist polymer. It is difficult to rinse high CA resist during development process so the resist containing polymer with fluorine atom may produce additional defects. In this paper, we will report the relationship between line edge roughness and acid diffusion length. We will also show the method to diminish defects caused by high contact angle (CA) resist. We achieved good resolution and LER improvement by controlling acid diffusion length. Moreover, we found the relationship of the number of defects and the structure of the monomers containing fluorine units.
Carbon rich hard mask underlayer (UL) material deposition has become inevitable process in all advanced lithography applications. UL processes which include chemical vapor deposition (CVD) and spin-on UL play a very important role for pattern transfer from patterned thin photoresist to the substrate. UL materials must satisfy several requirements, which have become more demanding with device shrinkage and increasing device complexity (FinFET, 3D integration). The most important properties of next generation UL materials are superior wiggle resistance, etch controllability, thermal resistance, planarization, and gap filling performance. In particular, planarization and gap fill properties of UL material for application on topo-patterned substrate are receiving much attention recently. CVD processes generally give better wiggle performance and thermal resistance, but poorer planarization and gap filling performance than spin-on UL processes. In addition, Cost of Ownership (CoO) of CVD process is higher than that of a spin-on UL process. Therefore spin-on organic hard mask (OHM) process has been investigated as an attractive alternative to CVD processing. In this paper, we focus on an investigation of key properties of spin-on UL materials for achieving good planarity and gap filling performance on topo-patterned substrate. Various material properties such as solution viscosity, glass transition temperature (Tg), and film shrinkage ratio were evaluated and correlations between these properties and planarization were discussed.
People have enjoyed innovations which are made possible with the device scaling. The industry has been challenging to realize the Moore’s Law. Resolution limit of ArF immersion scanner has already been larger than the device CD necessary now. Device structures have been getting more and more complicated to meet various technology requirements such as scaling, device speed, low power consumption and so on. Not only the scaling but also complication has to be overcome to realize those requirements. Scaling requirements, device structure, and new types of architectures for new generation device with the limited single exposure capability force us to keep using and exploring complicated multi-step patterning techniques or “tricks”. Device design, elements, process, and consumable tricks and JSR’s solution have been reviewed.
KEYWORDS: Semiconductors, Laser scanners, Scanners, 3D scanning, 3D image processing, Scanning electron microscopy, Line width roughness, Atomic force microscopy, Photoresist materials, Line edge roughness
We characterized the roughness and side wall morphology of lithographically produced nanostructures of resistmultilayer materials using the recently developed three-dimensional atomic force microscopy (3D-AFM), which has an independent Z scanner intentionally tilted to a certain angle access the sidewall. In order to produce different degrees of Line Edge Roughness (LER) in a given photoresist sample, we systematically varied the Aerial Image Contrast (AIC) at a constant dose for optically imaged resists. We describe herein the effects of AIC on KrF resists that were observed by using 3D-AFM and Critical Dimension-Scanning Electron Microscopy (CD-SEM). High-resolution sidewall images and line profiles obtained by the 3D-AFM technique demonstrate its advantages to characterize the shape and roughness of device patterns throughout the development and pattern transfer process. Taken together, we demonstrate that AFM imaging can identify a trend in Sidewall Roughness (SWR) as a function of AIC effects on photoresist sample, and CDSEM imaging provided supporting evidence to establish the LER trend.
New resist, under layer, and topcoat materials specific to EUVL was developed and investigated for sub 20 nm hp patterning performance. High Tg resin and high absorption resin were developed and incorporated in to EUV resist. EUV resist including high Tg resin showed good LWR and local CD uniformity (LCDU). EUV resist containing high absorption resin showed higher resist sensitivity. New silicon type under-layer materials with different hydrophobicity were developed for further patterning performance improvement. Silicon type under-layer material with higher hydrophobic surface property improved line collapse margin which in turn improved resist resolution. EUV top-coat material was developed and examined for EUV resist sensitivity to out of band (OOB) radiation. EUV top-coat suppressed OOB influence and improved lithographic performance. EUV resist containing new materials resolved 15 nm half pitch lines and spaces and 20 nm contact hole patterns.
The advantage of blend DSA (Directed Self Assembly) is milder anneal condition than PS-b-PMMA BCP
DSA materials and availability of conventional instruments. In this paper, blend type DSA was applied for
hole patterning. Target patterns were contact hole and oval hole. Polymer phase separation behavior has
been studied from the point of χN. In the case of polymer blend, χN needs to be more than 2 to give phase
separation. At first the effect of polymer size was studied. When the polymer weight was low, the shrunk
hole was not clean because of low χN. Furthermore, the correlation of shrink amount and χN was studied.
Higher χN polymer blend system gave higher shrink amount. High χN polymer systems give clear interface,
then the intermixing area would be reduced, then the attached polymer blend part became larger. The
polymer blend ratio effect was also investigated. The blend ratio was varied for polymer A/ polymer
B=70/30-50/50. The shrink amount of oval hole was reduced with increasing the ratio of polymer B.
However, the shrink amount ratio of CDY/CDX was almost constant (~3).
Patterning contact hole (CH) features with good critical dimension uniformity (CDU) is one of the most critical
challenges for 10nm node lithography and beyond. Extreme ultraviolet lithography (EUVL) is considered a potential
candidate because of its better aerial imaging and larger k1 factor than ArF immersion. To apply EUV lithography to
high volume manufacturing, EUV resists must overcome both the trade-off among resolution (R), local CD uniformity
(LCDU), and sensitivity (S) at CH features and the RLS trade-off at line/space (LS) features. We evaluated various resist
materials for CH patterning applications using the microexposure tools (METs) at SEMATECH in Albany, NY, and at
Lawrence Berkeley National Laboratory. In this study, we report the correlation between the lithographic performance of
EUV resist at CH features and physical properties of chemically amplified resists (CARs) such as their dissolution
behavior, the activation energy level of the protective group, and the acidity/acid diffusion length of the photoacid
generator (PAG).
Semiconductor manufacturing technology is currently undergoing a transformation from immersion
photolithography to double patterning or EUV technology. The resultant resist dimensional size and height shrinks will
require improved pattern transfer techniques and materials.
Underlayer (UL) processes which include chemical vapor deposition (CVD) and spin-on application play a very
important role in various chip manufacturing integration schemes. A pattern wiggling problem during substrate etch has
arisen as a critical issue when pattern dimensions shrink. CVD processes have shown better pattern transfer performance
than spin-on processes but at higher cost and process complexity along with difficulty in obtaining planarization and
good gap fill. Thus spin-on process development has received increased attention recently as an attractive alternative to
CVD processing.
In this work we focus on elucidating the mechanism of UL wiggling and have synthesized materials that address
several hypothesized mechanisms of failure: hydrogen content, modulus, film density, charge control unit type and
thermal resistance. UL materials with high thermal resistance additionally provide the ability to expand the applicability
of spin-on approaches. Material properties and wiggle failure test results will be discussed.
In order to resolve 16 nm half pitch and beyond upon EUV exposure, we have developed new materials for not only
resists but also for under layer materials. As for resist, short acid diffusion length photo-acid generator (PAG) was
developed for high resolution. As for under layer, new material with high contact angle (CA) improved line collapse
margin towards printing of minimum feature size. It was found that CA of under layer was one of the important factors
for resolution improvement. Furthermore, effect of development time was investigated to improve resolution. Short
development time gained resolution improvement compared with long one. Finally, combination of these results was
investigated. As a result, JSR EUV resist showed the potential of 15nm half pitch resolution.
Extreme ultraviolet (EUV) lithography is one of the most promising technologies for achieving 22nm HP lithography
and beyond. EUV resist is required to improve resolution limit down to less than 20nm hp. To achieve such a
performance, innovative materials' development is necessary under ultra-thin resist film condition for preventing line
collapse. In addition, more refined etching processes compatible with ultra-thin resist film are needed.
In this study, we will report our several approaches for both materials and processes towards forming less than 20nm HP
pattern under ultra-thin film condition. We will also introduce our tri-layer system formed with combination of Si-ARC
stack and organic hard mask (OHM) stack for refined etching process.
A new type of scissionable polymer based on main-chain acid-labile acetal linkages is reported as a photoresist for
e-beam and EUV lithography. Four kinds of copolymers were synthesized via ring-opening metathesis polymerization
(ROMP) using various ratios of acetal and norbornene-derivative monomers. Differential scanning calorimetry (DSC)
analysis demonstrated that incorporation of bulky structure, i.e., norbornene-derivatives, provided copolymers with high
Tg. According to thermogravimetric analysis (TGA), these copolymers had slight weight loss in the temperature range
from 100 to 250°C. This weight loss is tentatively assigned to a cleavage process due to the presence of the acetal units.
Both GPC and NMR analyses revealed that the main-chain of these copolymers was steadily chopped at scission
moieties of acetal units by strong acids in solution, and was also chopped by photo-generated acid from PAG in thin-film.
A steric barrier to the scissionable moiety is considered to hinder acidolysis, leading to improvement of main-chain
stability. These copolymers were confirmed to make fine patterns by e-beam exposure, demonstrating them to be
promising materials as photoresists for EUV lithography. Significant improvements are needed to meet the required resolution and photospeed performance for incorporation into viable EUV resists.
The synthesis and characterization data for a new sulfonium photoacid generator (PAG),
diphenyltrimethylsilylmethylsulfonium triflate (I), is reported. It is shown that the molecule undergoes rapid silyl group
transfer to water or phenol in the presence of a strong, nucleophilic base such as trioctylamine (TOA). The resulting
PAG, diphenyl-methylsulfonium triflate (II), is subsequently degraded by TOA via methyl group transfer from S to N
leading to the formation of Ph2S and methyltriocylammonium triflate. Both I and II are stable when non-nucleophilic
base quenchers are used. Dose-to-clear and patterning results obtained from EUV exposures at Intel-MET are presented,
illustrating that increased sensitivity can be obtained with PAGs I and II relative to triphenylsulfonium triflate (TPSOTf),
but that LWR is compromised.
For this paper, we have performed a fundamental characterization of various resists and topcoats supplied by different vendors. The resists and topcoats were selected based on the inherent properties of these chemicals (elemental composition, contact angle, etc.). The goal of this study is to better understand the resist and topcoat interaction under various process conditions. We have characterized these materials using a number of analytical techniques such as atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS). We have also provided data on the effect of the constitution of the resist and topcoat materials on the resist profile, under both dry and immersion exposure.
Bilayer, Si-containing resists are a technique of interest and a strong candidate to replace chemical vapor deposition
(CVD) hardmask processes for small critical dimensions (CDs). Previously, we proposed a very thin film approach using
bilayer resists for future lithography, defined the requirements for the resists, and demonstrated 55nm transferred
patterns with high aspect ratios using 2-beam interferometer exposure. In this paper, we have demonstrated smaller-than-
60nm transferred patterns with a high numerical aperture (NA) scanner, as well as 45nm and 40nm transferred patterns
with a 2-beam system using a 20% Si-containing thin bilayer resist. Immersion scanner exposure and a 35nm CD with 2-
beam system were also studied.
In this contribution, we have performed the fundamental characterization of silicon-containing spin-on hardmasks. There has been an interest in using these materials as part of multi-layer resist (MLR) technology to replace CVD films in order to improve lithographic performance and lower the overall process cost. However, not much is known about the characteristics of these materials in terms of their resist compatibility and etch performance, based on their composition. We have characterized these materials using a number of analytical techniques, including: FTIR, XPS, etc. We have also studied the effect of numerous etching chemistries, such as CF4 and SO2/O2, to determine their etch characteristics. Finally, we provide some after-dry-develop etch profiles.
Resist aspect ratio has always been an issue for lithographic processes. Smaller CD forces the use of thinner resist films, but dry etch needs a certain amount of thickness in the resist. Various techniques have been proposed and researched to overcome these single-layer resist limitations. Bilayer Si-containing resists are a technique of interest and a strong candidate to replace CVD processes. In this paper, we have characterized bilayer resists and their dry-develop processes, and sought possible uses for advanced lithography, especially by using a thin film (70nm-90nm). Bilayer resist dry-develop consists of a film shrink as in an exposure reaction with an early-stage resist surface oxidation. We discuss material requirements for this purpose and provide some after-dry-develop images with small CD.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.