For the mask making community, maintaining acceptable dose margin has been recognized as a critical
factor in the mask-making process. This is expected to be more critical for 20nm logic node masks and
beyond. To deal with this issue, model-based mask data preparation (MB-MDP) had been presented as a
useful method to obtain sufficient dose margin for these complex masks, in addition to reducing shot
count.
When the MB-MDP approach is applied in the actual mask production, the prediction of the dose margin
and the CD in the finished mask is essential.
This paper describes an improved model of mask process which predicts dose margin and CD in finished
masks better compared with the single Gaussian model presented in previous work. The better predictions
of this simple numerical model are confirmed with simulation by D2S and actual mask written by HOYA
using JEOL JBX-3200MV.
Dose Margin has always been known to be a critical factor in mask making. This paper describes why the issue is far more critical than ever before with the 20-nm logic node and beyond using ArF Immersion lithography. Model-Based Mask Data Preparation (MB-MDP) had been presented [references] to show shot count improvements for these complex masks. This paper describes that MBMDP also improves the dose margin. The improvement predicted with theoretical simulation with D2S is confirmed by the results of real mask written by JBX-3200MV (JEOL) by HOYA.
Placement error due to charging in electron beam lithography has been identified as the most important
factor limiting placement accuracy in EBL, which is especially important in the fabrication of masks for double
patterning. Published results from a few major companies demonstrated that the placement errors due to
charging are far larger than 10 nm. Here, we will describe the results of predicting the charging placement
error based on a significantly improved physical model. Specially designed patterns were used to characterize
the details of the charging placement error. Reference marks were exposed before the exposure of the test
pattern, during the exposure, and after the exposure was completed. The experimental results were used to
calibrate the parameters of the physical model. Furthermore, the DISPLACE software was used to predict the
placement error maps for other experiments. The results of the measurements and simulations are presented
in this paper. The results produced by the software were in good agreement with the experimental
measurements. When the amplitude and the direction of the placement error due to charging is predicted, it
can be easily corrected using readily available software for mask data preparation, or directly in EBL writers.
In this paper, the issue of intensity imbalance in an alternating phase shift mask has been studied for hole patterns with pitches 300 nm and below. A method of processing is developed, which would nullify the effects of phase errors that cause focus dependent difference in the sizes of holes belonging to opposite phases. This method uses two exposures with opposite foci. Using this method, the effect of the focus on the difference in the size of holes from opposite phases could be totally eliminated. It also changes the nature of the focus curve, bringing a significant improvement in the depth of focus without affecting exposure latitude and mask error enhancement factor. The method works quite effectively for all the via pitches, however, some constant size difference existed across focus, that is easily correctable by biasing one phase with respect to the other. It was also found that this technique could bring remarkable immunity against the lens aberrations such as defocus and astigmatism.
This paper studies the concept of and challenges in patterning trenches using hybrid phase shift mask. Our hybrid mask consists of alternating, chrome-less and 20% attenuated phase shift features on the same reticle. Using this mask, we could pattern across-pitch 120 nm trenches on 0.68-NA, KrF lithography scanner, which is equivalent to K1 of 0.33. However, many challenging issues like unequal best focus for different duty ratios of the same technique and same duty ratio of different techniques, variation in the dose requirements despite aerial image CD matching and the pitch dependent variation in the critical dimension imbalance of the zero and π phased trenches are observed. These issues, that are question marks on the viability of hybrid mask, are presented in this paper. Hybrid mask manufacturing and characterization data is also included to justify that the issues are not because of the mask manufacturing process.
One of the contributions to pattern placement/misalignment may come from the mask making process itself, in chromeless masks. This contribution will be important at 90 nm and smaller nodes. Hence it is necessary to estimate this contribution and find ways to minimize this. In this paper an effort has been made to measure this misalignment accurately. A series of box in box structures for overlay measurement, on KLA and CD SEM, were designed on the reticle. The structures had an outer box of etched chrome and an inner box with 180 degree phase. The edge of the chrome was used as the edge of the outer box. The line printed at the phase intersection was used as the edge of the inner box. Each of these structures were put in with a pre-determined value of X and Y misregistrations. The CD SEM structures were smaller in size but designed the same way as KLA structures. Such structures were put at 4 corners of the die. Overlay measurements were carried out using the optical overlay machine as well as CD SEM. An average misalignment of 11 nm and 1 nm were found in the X and Y directions respectively. When the results from each die corner was analyzed, it was found that the X misalignment had two different distributions. Also, exposure parameters such as focus and partial coherence for best misalignment measurement points were investigated. It is concluded that for obtaining accurate misalignment data, measurements should be conducted at a focus where the two opposite phase edges pattern at similar width. Also, a higher partial coherence is recommended as aberrations such a coma have more profound influence at lower partial coherence and this could contaminate the true misalignment data.
We investigated a new method for decrease of CD disparity due to fogging effect at photomask making, utilizing side- wall-angle-dependence of CD loss through descum process. We demonstrated this method could be valid for less than half- micron. This method can be effective on condition of anisotropic descum, no 'foot' at post-descum, and just- or under-development. Using this method, we obtained CD uniformity of 18.6 over fogging and non-fogging field, including process error.
We achieved 100 nm hole resist patterns of aspect ratio over 10 in tri-layer resist process, where there was seen no bowing or undercut in the resist profile. To enhance a controllability of resist profile, we used organic conductive materials of lower durability against oxygen plasma than novolac photoresist as bottom layer in order both to achieve higher selectivity versus material of intermediate layer and to eliminate electron shading effect. In addition, deposition property induced by this material could be utilized to improve the resist profile under optimized dry development conditions. This efficiency to control resist profile was dependent on content of sulfur in this material. In this experiment, we could not find any apparent difference of resist profile improvement dependent on conductivity from 100 Mohm/square to more than 100 Gohm/square. This needs further investigation.
KEYWORDS: Mask making, Raster graphics, Photomasks, Critical dimension metrology, Data corrections, Scattering, Electron beam lithography, Sun, Control systems, Data conversion
The e-beam proximity effect is well known as one of the limiting factors in e-beam lithography. As features get smaller the need for e-beam proximity effect correction increases. There exist different approaches to cover these effects by varying dose or shape of the pattern layout during the exposure step. Whichever algorithm is used, the question of proximity effect correction gets more and more a performance problem for forefront applications like the 256 megabit and 1 gigabit chips. The correction approach has to handle large data volume in reasonable time. Key to overcome this hurdle is to include hierarchial data handling into the proximity correction algorithm, which involves hierarchical data structures as well as hierarchy reorganization methods. The goal of the present work is to perform all necessary steps in order to guarantee the accuracy of the exposure result for the 1 gigabit memory chip. One step of the preparation is the e-beam proximity correction for raster scan machines. With respect to proximity effect correction, raster scan machines have a severe drawback. The scanning speed is constant while writing the layout, i.e., dose variation is not applicable to compensate for the proximity effect. There is, however, the geometry which can be exploited as degree of freedom. Geometrical variations of the layout underlie many constraints such as neighboring features, the exposure grid of the e-beam tool and, but not least, writing time. The paper presents how to solve some of the major problems occurring when proximity effect correction becomes an unavoidable step in the mask making process. Power and application limits of proximity effect correction for raster scan machines are investigated. The exposure has been carried out on a MEBES 4500 system. Process latitude and line width linearity are presented. In addition, practical questions like file size increase due to proximity correction are investigated. Exposure results of uncorrected and corrected pattern are compared to demonstrate the necessity of the correction as well as the improvement in pattern fidelity.
The proximity effect in e-beam lithography is well known and many solutions exist to correct it. But none of them are able to cope with the amount of data in today's large scale memories. In a conventional approach, the 64 Mb DRAM would lead to 10 Gigabytes of flat data and weeks of processing time, for example. Recently, Sigma-C achieved a breakthrough in handling USLIs by developing a generic algorithm for many different hierarchical processes. It solves throughput problems for operations like overlap removal (OLR); the e-beam (EPC) and optical proximity correction (OPC) which, at first glance, are inaccessible to hierarchical processing. Hierarchical algorithms take advantage of the growing symmetry of a layout with the number of designed shapes. Even after all processing steps a ULSI device will have hierarchy, not necessarily the same as on input, but yet enough to significantly decrease processing times. Hierarchical processing is a general outline which can be used for many different applications. Most parts of this algorithmic scheme are identical, only one part must be adapted for each application. This paper shows the general outline of hierarchical processing and the solution of the algorithmic steps specific to the hierarchical e-beam proximity correction. Subsequently, the application on a variety of critical layers of the 64 and 256 Mb DRAM is demonstrated using a workstation. Corrected and uncorrected exposures are compared by SEM pictures and line width measurements. The correction not only opens the process window, it turns out to be an enabling technique for critical layers.
This paper describes a new method for etching rate and resist profile control in O2RIE. The method simply determines the equivalent process conditions for different device layers with various areas of the material to be etched (etchable area) by introducing the ratio of the etchable area to the flow rate defined as S/F parameter. The concept of this method is that the gas composition controlled by S/F parameter determines both the etching rate and the resist profile under the constant energy flux densities of ions and energetic neutrals (ion impact density). S/F parameter is introduced through the extended expressions of Mogab's loading effect theory by applying two important characteristics in O2RIE: (1) The dominant etchant is oxygen molecules. (2) The etching rate is proportional to the ion impact density. The etching rate and the gas composition are expressed as functions of S/F parameter and the ion impact density in the extended expressions. The etching rate and the resist profile have been controlled by applying this method to wafer samples with various etchable areas. Furthermore, a linear relationship between the etching rate and the resist profile is clarified.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.