The layout design for silicon photonics can be complicated and usually have edges with arbitrary angles. The critical dimension can be less than 100 nm, requiring the layouts to be OPCed in order to have large enough process windows for high volume manufacturing. However, the well-established CMOS-orientated IC industry OPC tools for advanced nodes can only handle Manhattan designs in which the Manhattan style polygons with edges of 0°, 90° or 45° to the reference direction. Silicon photonics layouts need to be discretized in order to use the existing OPC tools. From optical performance point of view, the design grid is expected to be as small as possible and it is usually from 1 nm to 5 nm. However, the design grid has never been optimized based on the OPC performance.
In this paper, we demonstrate the impacts of design grid on the OPC performance. Design grid for silicon photonics is not always the smaller the better anymore. Our study shows that small 2D designs require large design grids while smooth curves with large radius require small design grids.
We proposed a novel design-based discretization algorithm to convert a non-Manhattan style layout to an OPC-friendly Manhattan style layout. Simulation results show that the pattern fidelity is optimized for both small 2D patterns and smooth curves.
Optical metrology tool, LX530, is designed for high throughput and dense sampling metrology in semiconductor manufacture. It can inspect the dose and focus variation in the process control based on the critical dimension (CD) and line edge roughness (LER) measurement. The working principle is shown with a finite-difference-time-domain (FDTD) CD simulation. Two optical post lithography wafers, including one focus-exposure-matrix (FEM) wafer and one nominal wafer, are inspected for CD, dose and focus analysis. It is demonstrated that dose and focus can be measured independently. A data output method based on global CD uniformity (CDU), inter CDU and intra CDU is proposed to avoid the data volume issue in dense sampling whole wafer inspection.
KEYWORDS: Etching, Line edge roughness, Optical lithography, Back end of line, Chemistry, Front end of line, Lithography, Ions, Amorphous silicon, Extreme ultraviolet
Critical back end of line (BEOL) Mx patterning at 7nm technology node and beyond requires sub-36nm pitch line/space pattern in order to meet the scaling requirements. This small pitch can be achieved by either extreme ultraviolet (EUV) lithography or 193nm-immersion-lithography based self-aligned quadruple patterning (SAQP). With enormous challenges being faced in production readiness of EUV lithography, SAQP is expected to be the front up approach for Mx grid patterning for most of industry. In contrast to the front end of line (FEOL) fin patterning, which has successfully deployed SAQP approach since 10nm node technology, BEOL Mx SAQP is challenging owing to the required usage of significantly lower temperature budgets for film stack deposition. This has an adverse impact on the material properties of the as-deposited films leading to emergence of several challenges for etch including selectivity, uniformity and roughness.
In this presentation we will highlight those unique etch challenges associated with our BEOL Mx SAQP patterning strategy and summarize our efforts in optimizing the patterning stack, etch chemistries & process steps for meeting the 7nm technology node targets. We will present comparison data on both organic and in-organic mandrel stacks with respect to LER/LWR & CDU. With LER being one of the most critical targets for 7nm BEOL Mx, we will outline our actions for optimization of our stack including resist material, mandrel material, spacer material and others. Finally, we would like to update our progress on achieving the target LER of 1.5 nm for 32nm pitch BEOL SAQP pattern.
The left side and right side line edge roughnesses (LER) of a line are compared for different conditions, such as through pitch, through critical dimension (CD), from horizontal to vertical line direction, from litho to etch. The investigation shows that the left and right side LER from lithography process are the same, however, the metrology can cause a 4-25% increase in the measured right side LER. The LER difference is related to the CDSEM e-beam scan direction.
The line edge roughness (LER) and line width roughness (LWR) transfer in a self-aligned quadruple patterning (SAQP) process is shown for the first time. Three LER characterization methods, including conventional standard deviation method, power spectral density (PSD) method and frequency domain 3-sigma method, are used in the analysis. The wiggling is also quantitatively characterized for each SAQP step with a wiggling factor. This work will benefit both process optimization and process monitoring.
Line edge roughness (LER) and line width roughness (LWR) are analyzed based on the frequency domain 3σ LER characterization methodology during pattern transfer in a self-aligned double patterning (SADP) process. The power spectrum of the LER/LWR is divided into three regions: low frequency, middle frequency, and high frequency regions. Three standard deviation numbers are used to characterize the LER/LWR in the three frequency regions. Pattern wiggling is also detected quantitatively during LER/LWR transfer in the SADP process.
A frequency domain 3 sigma LER characterization methodology combining the standard deviation and power spectral density (PSD) methods is proposed. In the new method, the standard deviation is calculated in the frequency domain instead of the spatial domain as in the conventional method. The power spectrum of the LER is divided into three regions: low frequency (LF), middle frequency (MF) and high frequency (HF) regions. The frequency region definition is based on process visual comparisons. Three standard deviation numbers are used to characterize the LER in the three frequency regions. Pattern wiggling can be detected quantitatively with a wiggling factor which is also proposed in this paper.
The successful demonstration of 637 wafer exposures in 24 hours on the EUV scanner at the IBM EUV Center for
Excellence in July marked the transition from research to process development using EUV lithography. Early process
development on a new tool involves significant characterization, as it is necessary to benchmark tool performance and
process capability. This work highlights some key learning from early EUV process development with a focus on
identifying the largest sources of variability for trench and via hole patterning through the patterning process. The EUV
scanner demonstrated stable overlay on a 40 lot test run using integrated wafers. The within field and local critical
dimension uniformity (CDU) are the largest contributors to CD variations. The line edge roughness (LER) and line
width roughness (LWR) in EUV resist will be compared to the post etch value to determine the effect of processing.
While these numbers are generally used to describe the robustness of 1D trenches or circular vias, the need to accurately
evaluate the printability of irregular 2D features has become increasingly important. In the past 5 years, models built
from critical dimension scanning electron microscope (CDSEM) contours has become a hot topic in computational
lithography. Applying this methodology, the CDSEM contour technique will be used to assess the uniformity of these
irregular patterns in EUV resist and after etching. CDSEM contours also have additional benefits for via pattern
characterization.
The overlay performance and alignment strategy optimization for a triple patterning (LELELE) were studied based on the Monte Carlo simulation method. The simulated results show that all of the combined or worst case overlay, alignment strategy, mean target of the upper level, and mean tolerance of the lower level are dependent on the means of the lower level. A dynamic mean control method is proposed to be integrated into the APC system to improve the overlay performance.
Flare (stray light) is an important effect impacting extreme ultraviolet lithography (EUVL) imaging system performance. Four flare measurement methods including Kirk, modulation transfer function, double exposure, and zonal ring approximation method are reviewed and analyzed theoretically. The point spread function of an EUV NXE:3100 exposure tool is extracted from the measured Kirk flare (KF) and fitted with a double-fractal model. The KF for this NXE:3100 tool is determined to be 8.5% for a 2-μm diameter absorber pad placed in a 12-mm outer radius bright field, which is larger than the previous 5% KF data measured by ASML and IMEC in 2011. The observation of the increased flare level in the NXE:3100 tool suggests that contamination of EUV optics may be a potential problem for EUVL manufacturing.
Traumatic brain injury (TBI) is a great potential threat to soldiers who are exposed to explosions or athletes who receive
cranial impacts. Protecting people from TBI has recently attracted a significant amount of attention due to recent military
operations in the Middle East. Recording pressure transient data in a blast event is very critical to the understanding of the
effects of blast events on TBI. However, due to the fast change of the pressure during blast events, very few sensors have
the capability to effectively track the dynamic pressure transients. This paper reports an ultra fast, miniature and all-optical
fiber pressure sensor which could be mounted at different locations of a helmet to measure the fast changing pressure
simultaneously. The sensor is based on Fabry-Perot (FP) principle. The end face of the fiber is wet etched. A well
controlled thickness silicon dioxide diaphragm is thermal bonded on the end face to form an FP cavity. A shock tube test
was conducted at Natick Soldier Research Development and Engineering Center, where the sensors were mounted in a
shock tube side by side with a reference sensor to measure the rapidly changing pressure. The results of the test
demonstrated that the sensor developed had an improved rise time (shorter than 0.4 μs) when compared to a commercially
available reference sensor.
Traumatic brain injury (TBI) is a great potential threat to people who deal with explosive devices. Protection from TBI
has attracted more and more interest. Great efforts have been taken to the studies on the understanding of the
propagation of the blast events and its effect on TBI. However, one of the biggest challenges is that the current available
pressure sensors are not fast enough to capture the blast wave especially the transient period. This paper reports an
ultrafast pressure sensor that could be very useful for analysis of the fast changing blast signal. The sensor is based on
Fabry-Perot (FP) principle. It uses a 45º angle polished fiber sitting in a V-groove on a silicon chip. The endface of the
angle polished fiber and the diaphragm which is lifted off on the side wall of the V-groove form the FP cavity. The
sensor is very small and can be mounted on different locations of a helmet to measure blast pressure simultaneously. The
tests were conducted at Natick Soldier Research, Development, and Engineering Center (NSRDEC) in Natick, MA. The
sensors were mounted in a shock tube, side by side with the reference sensors, to measure a rapidly increased pressure.
The results demonstrated that our sensors' responses agreed well with those from the electrical reference sensors and
their response time is comparable.
Raman spectroscopy is a technology that can detect and distinguish materials based on the materials' Raman
scattering. However, the signal produced using this technology is usually too small to be useful. The Raman
spectrum signal can be enhanced by creating rough patches on the surface of the material. In this paper, a novel
method to produce nanometer-sized features on optical materials such as glass, fused silica, and quartz substrate is
presented. Using a femtosecond laser, the transparent materials are sputtered and deposited. When the materials
cool down, they produce structures with nano-features. These nano-features on optical materials can make
designing optical sensing systems much easier. Scanning electron microscope photos of nano-structures on quartz
substrate and optical fiber show that features less than 100 nm in size have been successfully fabricated. The 3D
micro- and nano-structures of the sensor were studied using a confocal Raman spectrum microscope and focused
ion-beam milling. Raman spectrum signals show that the strength of the signal generated by Raman scattering was
greatly enhanced compared to substrates without nano-features.
A tapered optical fiber sensor (TOFS) is a kind of optical fiber sensor that uses special geometries to measure properties
of surrounding environments or samples using evanescent waves. This paper presents a fast, highly sensitive, and
inexpensive tapered optical fiber biosensor that, using a miniature sensing structure, enables the label-free direct
detection of biomolecules. The sensor takes advantage of the interference effect between the fiber's first two modes
along the taper waist region. This effect causes some interference fringes in the transmission spectrum. Because of its
sharp spectrum fringe signals and its long biomolecule testing region, the sensor is fast and highly sensitive. To better
understand the influence of various biomolecules on the sensor, a computer simulation that varied such bio-layer
parameters as thickness and refractive index was performed. The result of 0.4 nm/nm showed that the spectrum fringe
shift of the sensor was large enough to be easily measured even when the bio-layer was nanometers thick. A tapered
optical fiber biosensor was then fabricated and evaluated with an immune globulin G (IgG) antibody-antigen pair, and
showed good performance.
A novel ultrasound generator consisting of a single mode optical fiber with a layer of gold nanoparticles on its tip has
been designed. The generator utilizes the optical and photo-acoustic properties of gold nanoparticles. When heated by
laser pulses, a thin absorption layer made up of these nanoparticles at the cleaved surface of a single mode fiber
generates a mechanical shock wave caused by thermal expansion. Mie's theory was applied in a MATLAB simulation to
determine the relationship between the absorption efficiency and the optical resonance wavelengths of a layer of gold
nanospheres. Results showed that the absorption efficiency and related resonance wavelengths of gold nanospheres
varied based on the size of the gold nanosphere particles. In order to obtain the bandwidths associated with ultrasound,
another MATLAB simulation was run to study the relationship between the power of the laser being used, the size of the
gold nanosphere, and the energy decay time. The results of this and the previous simulation showed that the energy
decay time is picoseconds in length.
A novel ultrasound generator-receiver built on a single-mode optical fiber using a layer of gold nanoparticles has been
designed. The generator takes advantage of the optical and photo-acoustic properties of gold nanoparticles. Thermal and
pressure waves are generated in the nano-particle layer when it is exposed to high intensity, short duration laser
radiation. The laser radiation is applied in an intensity range that creates an instantaneous surface heating of the layer
material that, in turn, drives a pressure wave into the layer. The pressure wave interacts with the layer-substrate interface
to create stress distributions of varying strengths and qualities, depending on the intensity and duration of the initial laser
pulse. The radiation due to laser-induced heating on the nano-particles was investigated using FEA analyses. The
maximum principal stress distribution was investigated by the FEA. Results indicate that the ultrasound generation
elements have almost zero effect on the diaphragm.
A novel Fabry-Perot interferometer pressure/acoustic sensor has been designed, fabricated, and tested. The sensor
consists of an angle-polished fiber, a V-shaped groove on a silicon substrate, and a silicon nitride diaphragm on the side
wall of the groove. The design uses MEMS technology to ensure precise cavity length control and diaphragm design
flexibility. Two shock wave tests were performed on the sensors: one where a balloon was popped near the sensors, and
another that used a shock tube to simulate a blast event. Multi-sensor assemblies, where all the sensors were calibrated to
have similar center wavelengths, were also put together. The assemblies were tested simultaneously using a single laser
source. The results of all these tests showed that the performance of the Fabry-Perot sensors closely matched that of the
reference sensors used.
The potential of thin film thickness variation measurement method, reflectometric interference spectroscopy (RIfS), for a
compact label-free biosensor is investigated. A model to estimate thickness variation is built based on RIfS. A set-up of
the sensor having dual Light Emitting Diodes (LEDs) and one photo detector are introduced. To verify the model,
sample chips with different thicknesses of silica film layers ranging from 2 to 20nm are used in the experiment. The
estimated values are compared with their reference values which are measured by an Atomic Force Microscopy (AFM).
Since the chosen LEDs' wavelength is not an ideal one, the comparison shows that the model underestimates the
thickness variation. By using dual LEDs and a photo detector with the reliable model, the handheld device for
transparent thin film measurement will become practical.
This paper presents a label-free biosensor using two Light Emitting Diodes (LEDs) as light sources and a photo detector
as a receiver. The sensor uses a silica-on-silicon wafer with PMMA [Poly(methyl methacrylate)] as the functional layer.
The principle of this biosensor is based on the Fabry Perot (FP) interferometer. A thickness of a 100 nm PMMA layer is
spin-coated on the silicon wafer, which has a thin thermal oxide layer of 500 nm. In such a configuration, the PMMA
layer and silica layer function as an FP cavity. When a light illuminates the surface of the sensor, the reflections from the
PMMA-air and silica-silicon interfaces will interfere with each other. Consequently, the change of the cavity length,
which is caused by biomaterial binding on the PMMA layer, will result in a red shift in the reflection spectrum. An
intensity change of the reflection light will be observed on an individual wavelength. In order to eliminate environment
noise and to enhance the sensitivity of the sensor, two LEDs, whose center wavelength is chosen on either side of the
spectrum notch, are introduced in the system. A photo detector will alternatively obtain the intensities of the two
individual reflected lights, and collect the signal via a data acquisition system. Long-term tests have shown that the
sensor is resistant to environmental fluctuation. Biolinker Protein G' was used for binding tests. The sensor shows great
potential in biosensor applications due to its compact size and low cost.
This paper presents a novel miniature sensing tip structure for various biomedical applications. With such a tiny tip, the
sensor has potential to be inserted into cells for intracellular measurements without any label as indicator. This label-free
detection method is very useful in biological areas such as DNA hybridization detection and antigen-antibody interaction
monitoring. Single-cell analysis (SCA) technology can provide dynamic analysis of interactions within individual living
cells, in addition to providing a complement to conventional bulk cell assays. When the number of sample cells obtained
from surgical procedures is limited, and cannot be propagated for study, SCA is especially important. It provides a
valuable tool for intracellular studies that have applications ranging from medicine to national security.
In addition, the sensor fabrication is simple and has potential for batch manufacturing. The sensor performance will be
reproducible and uniform. Uniformity and reproducibility are two very important requirements for sensor manufacturing.
Unfortunately, most current optical fiber sensors are hand-made one by one, and the sensors' performance is not easy to
be uniform. Our novel sensor will be able to address this problem. This may lead to batch processing and a great
reduction of the fabrication cost.
In this paper we design and fabricate the first mirror-integrated silicon-on-insulator-based (SOI-based) arrayed-waveguide grating (AWG) with working functions. The fabricated AWG has a channel spacing of 1.6 nm centered at 1556 nm. We substitute the bent waveguides array in the traditional AWG configuration by adopting the structure of the mirror-integrated straight waveguides. Theoretical advantages of the new structure are demonstrated. Detailed description of the design procedure and the fabrication process is provided. Test results of both the traditional AWG and this design are delineated and analyzed, which shows that the total length of the waveguide array is reduced from 3.14 cm to 2.53 cm, and the holistic structure also becomes more compact. The crosstalk of the fabricated 1x8 AWG is better than -20 dB. The typical on-chip insertion loss is about 10 dB. Losses caused by the mirrors and the waveguides transmission are about 4.2 dB, both of which resulted from the imprecision in the fabrication process.
A 1×8 multimode interference coupler with a strong confinement structure in the multimode region was proposed and fabricated on silicon-on-insulator material. In the multimode region, the waveguide was etched to the buried silica layer so as to realize the strong confinement and obtain better resolution of the self-imaging effect, while in the input/output ports, the rib waveguides with the large cross-section rib structure were designed to satisfy the single-mode condition, with which the device could be efficiently coupled with single-mode fibers or other waveguide devices. The fabrication process was also specially designed to eliminate the photolithography alignment error.
Planar lightwave circuits based on silicon are playing important roles in integrated optical systems. The integrated waveguide turning mirror (IWTM) is essential component for the compactness of optical devices. We designed and fabricated an integrated waveguide turning mirror with a 90° directional change in SOI. The mirror was etched by induct-coupled-plasma etching (ICP) first. Then the surface was enhanced by wet anisotropic etching. This two-step process introduced a compact IWTM with smooth and vertical surface. Compared with the mirror fabricated by wet anisotropic etching only, the mirror fabricate by the two-step process is better to meet the requirement of compact design.
Planar lightwave circuits based on silicon are playing important roles in integrated optical systems. Integrated waveguide turning mirror (IWTM) is essential component for the compactness of optical devices. We design an integrated waveguide turning mirror with a 90 degree(s) directional change in SOI, which diminishes the primary loss from the displacement of waveguide and mirror and has very low mirror surface roughness. In this paper, the theory, design and fabrication of this IWTM are introduced. A beam splitter using the structure is fabricated to test the performances, and expected results are obtained.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.