Metal oxide photoresists are recognized as an integral component in the high–numerical aperture future of EUV lithography and the challenging feature sizes required at associated nodes. Many properties of these materials, such as their high EUV absorptivity, etch selectivity, and relatively small molecular size are particularly beneficial for enabling the advancement of lithographic processes. To help ease process development efforts involving these materials, a previously reported rigorous stochastic lithography model has been built using in-depth knowledge of the chemical and material processes that govern the behavior of spin-on metal oxide resists. Experimental data from a series of measurement techniques were used to both define and parametrize the fundamental equations that underlie the lithographic performance of these materials. The resulting parameters were then calibrated to a dataset derived from an extensive series of CD-SEM and open-frame exposures. In this model update, the match between simulated data and experiment has been improved both by more targeted calibration efforts and by the inclusion of more diverse exposure measurements into the calibration dataset. Interrogating the changes necessary to improve performance provides insights into resist behavior and how disparate process steps are interrelated. The updated model is used to simulate a series of exposure conditions outside of the calibration dataset to both validate the model and show its capabilities. Together, the fundamental nature of the model and the insights gained through its calibration provide a powerful tool to drive process optimization for metal oxide materials.
A high-numerical-aperture (NA) extreme ultraviolet lithography (EUVL) system has been highly desired and is now under construction at imec-ASML High NA Laboratory in Veldhoven. However, there are still many challenges to realizing high-volume manufacturing by High NA EUVL. Line edge roughness (LER) mitigation is one of the key elements. In our previous research, we studied how the normalized image log slope (NILS) and resist film thickness affect resist LER by exposure at NA 0.33 on NXE:3400 and S-Litho EUV. However, the mask absorber, mask tone, exposure dose, and postexposure bake (PEB) temperature were not the subject of previous investigations for decreasing LER. They have the potential to mitigate resist roughness such as illumination and resist thickness studied previously. Unbiased LER values of metal oxide resists (MOR) were experimentally measured on a half-pitch (hp) 14 nm line and space under several mask absorber/tonality, dose, and PEB temperature values. Per the mask absorber/tone, the NILS was varied using different illumination shapes. Low-n masks exhibited a higher NILS for the same illumination shape, which resulted in lower resist LER on the wafer. It was also found that the mask tone can contribute to LER mitigation. According to detailed investigations about the mask roughness, mask error enhancement factor, and flare by critical dimension scanning electron microscope (CD-SEM) measurements and S-Litho EUV, the most likely reason for the LER difference is a flare increase given by mask tone changes. From the dose and PEB temperature variation study, dose was apparently more dominant in resisting roughness than PEB temperature.
Integrated circuit performance has been limited by transistor performance for many process nodes. However, in advanced nodes where pitches reach 10s of nanometers in size, there is an increasing probability of cases where circuit timing may be limited by the resistance and capacitance of the device rather than the transistor. This means that metal layer patterning may have implications on device performance beyond reliability, shorts, and opens. Lithography variation can be effectively predicted using stochastic simulations, including layer overlay. Simulating many patterns stochastically produces insight into the performance of the lithography process over time. Etching and metallizing the pattern set in simulation then allows the study to extend to electrical simulations. The combined lithography and electrical simulation data can then be used together to improve process or pattern performance before constructing a reticle. These data also allow the engineering teams to address resist and capacitance issues that may impact device performance prior to tapeout. This paper will investigate the metal layers of a structure designed to emulate an advanced node logic circuit that uses a CFET transistor. The structure will be corrected with OPC, and each layer will be simulated to generate a large (100) set of stochastic patterns at multiple process conditions in focus, overlay, and exposure. Each of these patterns will then be etched in a modeled process and metalized with copper. Finally, resistance and capacitance measurements will be generated from circuit simulations. The output data will then be used to update the lithography process or the pattern to improve through process performance including electrical characteristics.
High numerical-aperture (NA) extreme ultraviolet lithography (EUVL) system has been highly desired [1-3] and is now under construction at imec-ASML high-NA laboratory in Veldhoven. However, there are still many challenges to realize high-volume manufacturing (HVM) by high-NA EUVL; Line edge roughness (LER) mitigation is one of the key elements. In our previous research, we studied how normalized image log slope (NILS) and resist film thickness (FT) affect resist LER by exposure at NA 0.33 on NXE:3400 and S-Litho EUV [4] . However, mask absorber/tone, exposure dose and postexposure bake (PEB) temperature were not focused on for decreasing LER. They have the potential to mitigate resist roughness as illumination and resist thickness also have. Unbiased LER (uLER) values of metal oxide resists (MOR) were experimentally measured on half pitch 14 nm line and space (L/S) under several mask absorber/tonality, dose and PEB temperature. Per mask absorber/tone the NILS was varied by using different illumination shapes. Low-n masks exhibited higher NILS for the same illumination shape, which resulted in lower resist LER on wafer. It was also found that mask tone can contribute to LER mitigation. According to detailed investigations about mask roughness, mask error enhancement factor (MEEF) and flare by CD-SEM measurements and S-Litho EUV, the most likely reason for LER difference is flare increase given by mask tone change. From dose and PEB temperature variation study, dose was apparently more dominant to resist roughness than PEB temperature.
This study investigates the role of EUV mask absorber materials defects on stochastic defect formation in a lithographic process using simulations. The purpose of these simulations is to compare absorber materials by their role of affecting stochastic defects as well as CD performance in the lithographic process. The absorber materials are tested for bright and dark field masks using low defectivity and CD criteria to define process windows for different pattern types. The process windows are examined to identify and compare an estimated process window overlap that takes patterns, material, CD performance and defectivity into account. The defectivity of the stochastic process simulation was increased using a modification to the distribution of chemical components that resembles the effect of PAG and Quencher molecules forming clusters. The study demonstrates that the overlap of low defectivity process windows strongly depends on the types of patterns and the absorber material.
The next-generation extreme ultraviolet (EUV) lithography tool, i.e., the high-numerical aperture (NA) ASML EXE system is now under preparation. As a consequence of the 0.55 NA, EXE scanners decrease the depth-of-focus (DoF) drastically, which requires much thinner photoresist thickness. However, a thinner photoresist process causes line edge roughness (LER) increase. Therefore, roughness mitigation is one of the key elements of high-NA EUV process development. We have studied how to heal LER of thin photoresist processes by illumination and mask. Rigorous simulations were conducted by Sentaurus EUV lithographyTM (S-litho EUV) software provided by Synopsys on a calibrated stochastic Inpria metal oxide resist (MOR) model to adopt the correct photoresist parameter set. Unbiased LER values were experimentally measured on half pitch (hp) 14 nm and 24 nm line and space (LS) as a function of normalized image log slope (NILS) and photoresist film thickness. 24nm hp on 0.33 NA corresponds to 14nm hp on 0.55 NA from the viewpoint of k1 factor (~0.57). NILS of these LS patterns was varied by changing illumination (dipole and annular). By comparing the experimental LER values on silicon with the simulated results, we predicted the LER performance of high-NA EUVL on 14nm hp LS pattern. In this research, we will discuss the better material condition for reduced LER of fine LS pattern at high-NA EUVL.
Background: The metal-oxide resist (MOR) is a promising type of nonchemically amplified resist (CAR) for EUV lithography. This family of resists shows some advantages over the conventional CARs. Even though a prior MOR model exists, no documented references for the application described could be found in open literature to develop a physically rational lithographic model that can accurately simulate and predict lithographic results for these resists.
Aim: Increase the fundamental understanding of this class of resists by creating a model that also considers these aspects of the resist.
Approach: Model the metal-oxo clusters of the MOR as nanoparticles with an effective radius that operate under excluded volume.
Results: We show the possibility to include both the packing noise effect, as well as accurate roughness (characteristics) predictions by utilizing power spectral density (PSD) plots.
Conclusions: Varying the calibrated model parameters has a clear effect on the overall roughness of the resist lines and is reflected in the PSD behavior. In contrast to experimental data, changing the resist film thickness did not result in a change in PSD behavior.
Organometallic photoresists are being pursued as an alternative photoresist material to push the current extreme ultraviolet lithography (EUVL) to the next generation of high-NA EUVL. In order to improve the photoresist performance, an understanding of the photoresist’s response to different process conditions is required. In this endeavor, a stochastic development model is implemented, integrated into full photoresist process steps, and applied for photoresist performance investigations. The model is applied to Inpria-YA photoresist, which works mainly by the process of aggregation. Previously published modeling approaches for metal-organic photoresists assume that the development characteristics of these materials depend only on the size of the created oxo-clusters. In contrast to that, we propose a modeling approach that provides a more detailed description of the interaction among the developer, ligands, and oxo-bonds. Further, the calibration procedures conducted to extract the model parameters to match experimental data are discussed. The model approximated the experimental data with CD RMSE and LWR RMSE of 0.60 and 0.40 nm, respectively. We also investigated the impact of photoresist parameters on the process metrics, line width roughness (LWR), critical dimension (CD), dose-to-size (DtS), and exposure latitude (EL) with the calibrated model. The investigation shows that details of the interaction of photoresist and developer, especially, the so-called development critical value, have a significant impact on the LWR and DtS.
For the past years, ArF immersion has been employed as the major lithography tool in the foundry manufacturing to fabricate the patterns of minimum pitch and size. However, for semiconductor scaling beyond N7 the application of EUV lithography is considered to be crucially important to overcome the physical limitation of ArF immersion and to realize even smaller patterns. In the case of ArF photo processes, the best mask size for a specific pitch could be selected with the consideration of optical performances such as NILS, MEEF, etc. In contrast, for the EUV processes the optical and resist stochastic effect should also be taken into account as an important factor in deciding the best mask size. In this paper, we are going to discuss the dose and mask size optimization process for an DRAM contact hole layer with EUV lithography utilizing stochastic simulations; this contains also the stochastic response of the resist. In order to calibrate a predictive stochastic resist model, which is required for this application, measurements of the stochastic resist response are necessary. In addition, the systematic and stochastic errors of CD-SEM measurements have to be estimated. We will compare experimentally obtained NILS and MEEF to simulated results, which are in very good agreement. Also, we show a comparison of experimental and computational analysis of LCDU (Local CD Uniformity).
Finding a process window and improving the yield for EUV single exposure nodes requires an understanding of stochastic defects. Stochastic simulations can be used as a tool to understand the influence of the process on defectivity. This presentation introduces stochastic model calibration with the purpose of matching lithographic observables. The validity of this approach will be shown based on comparison of measured defectivity data and matching stochastic simulations.
Stochastic defects are a concern in the lithographic processes used for semiconductor manufacturing, particularly for advanced node extreme ultraviolet lithographic processes. Experimentally determining the defect probability for a lithographic process is extremely time-consuming, requiring expensive metrology equipment and generally limited to simple patterns. Defect probability simulations can be beneficial from time and cost perspective and furthermore should be extensible to more complex patterns. As such, being able to accurately predict the defect probability using lithography simulations would be a valuable complementary option. We show the results of a fast simulation-based methodology for predicting defect probabilities based on a continuum lithographic model calibrated to experimental data. The simulation based-results are compared to experimental microbridge defect probability data where we show a good correlation between the two.
KEYWORDS: Data modeling, Calibration, Lithography, Monte Carlo methods, Stochastic processes, Extreme ultraviolet lithography, Photoresist processing, Line width roughness, Systems modeling, Photoresist materials
MOx resists have matured into promising alternatives to conventional CAR resists for advanced-node EUV lithography where these materials offer potential improvements to patterning fidelity and high etch resistance based on metallic components. This is a particular boon for processes with limited exposure latitudes such as High-NA EUV lithography. Creating and employing first-principle models of MOx lithographic processes should speed adoption and development of these materials and represents an important aspect of platform maturation. Stochastic photochemical models of metalcontaining resist systems have previously been developed, but without extension to computational lithography. Likewise, stochastic models derived from CAR systems have been fit to MOx lithographic data using computational lithography software, facilitating limited stochastic lithography studies without capturing fundamental MOx imaging processes. Recently, a rigorous stochastic model built from the ground up using MOx-specific resist principles has been developed. In this contribution, the performance of this MOx-specific model was assessed by comparing simulated and experimental lithography data for a series of MOx resists under a range of exposure and process conditions. Chemical and physical properties of the resists derived independently from X-ray diffraction, EUV absorbance, FTIR spectroscopy, and ellipsometry measurements were parameterized in the context of the simulation, and calibration routines were used to fit simulated data to experimental CD-SEM exposure data produced using an NXE-3300B EUV scanner. Insights from these models may be used to guide MOx resist development and EUV lithography process optimization. Ultimately, these studies will help to identify process windows, processing points, and possibly improvements to the MOx resists.
Mask absorber variations are known to impact wafer imaging. To understand these impacts, absorber variations around SRAF and line-end features are studied on both bright and dark field masks. The primary areas of investigation are SRAF absorber thickness and sidewall angle variation. The working hypothesis was that these two variations are most prevalent in EUV mask absorber processing and could limit EUV imaging. In addition, this study will investigate whether Optical Proximity Correction (OPC) and can compensate for absorber thickness and sidewall variations. AFM data were collected to identify whether qualitative variations between SRAF and main features in the mask absorber were present. Simulations were deployed to quantify the response of wafer images to mask absorber variations. The study found sensitivity to SRAF SWA and thickness variations in the dark field and bright field cases. The study also found that OPC mitigates a large part of the mask SRAF shape variations, if the OPC model includes the quantified variation. Consequently, mask characterization and inclusion in OPC models is needed to reduce model errors.
This paper presents a design and technology co-optimization (DTCO) study of metal cut formation in the sub-20-nmregime. We propose to form the cuts by applying grapho-epitaxial directed self-assembly. The construction of a DTCO flow is explained and results of a process variation analysis are presented. We examined two different DSA models and evaluated their performance and speed tradeoff. The applicability of each model type in DTCO is discussed and categorized.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.