KEYWORDS: Photomasks, 3D modeling, Diffraction, 3D metrology, Lithography, Scanning electron microscopy, Critical dimension metrology, Printing, 3D printing, Image analysis
In 45nm node and beyond with hyper NA lithography, mask topography effect is not ignorable and mask CD bias
impacts printing performance such as MEEF or exposure latitude. In that situation, 3D simulation is required for precise
evaluation of printing performance and the accuracy of 3D mask model on simulation is a key issue. Verification of 3D
mask model by diffraction intensity measurement with AIMSTM45-193i was discussed in our previous works. Through
the verification, though real mask successfully creates effective or simulated diffractions, CD on 3D mask model on
simulation was different to that on AIMSTM result which was measured by CD-SEM. Therefore, purpose of this work is
to analyze the cause of CD differences through AIMSTM diffraction intensity evaluation in various conditions (mask
material, pattern pitch, mask CD bias and mask CD-SEM system). Furthermore, lithography equivalent CD is proposed
as width of "ideal" mask shape.
As a result achieved from the experiments, constant CD shift was successfully observed at hp40-70nm L/S pattern with
varied bias for both 6% EAPSM and Binary masks. It can be said that mask topography difference related to mask
material and pattern dimensions has not been observed. On the other hand, the value of CD shift was smaller on the
condition of newer generation CD-SEM measurement.
Other result achieved from further discussion and analysis, cause of the CD difference was explained using simple SEM
image simulation. The CD difference was mainly changed by electron beam size factor, and it was stable with side wall
angle in the range of 80 to 90 degree if the middle CD, which is the width of 3D model defined at the half height of the
mask film's thickness, is constant. Since side wall angles on actual masks are nearly 90 degree, lithography equivalent
CD could be measured by CD-SEM with constant offset.
New process with hard-mask (HM) blanks was evaluated as one of candidates for photomasks beyond 45nm-node.
Through the fabrication of gate-layer photomasks, aptitude of the HM process for practical use was confirmed from the
view of controllability on CDs and defects. Although conventional process for attenuated PSM was shown to have
critical CD error which belongs to the "patterns" in bright-field masks, experimental data proved effectiveness of the
HM process to control CDs after process optimization. With the HM blanks, remarkable reduction of CD error more
than 80% of conventional process was confirmed. In this report, peculiar opaque defects are also shown to be a critical
issue on the HM process. From results of design of experiment (DOE), combining the proper means to prepare the HM
blanks with the optimized HM etching condition, these defects were proved to be controlled within the tolerance for
production. Through the investigations, validity of the HM process on practical use for mask fabrication of 45nm-node
and beyond is considered as conclusions.
Hyper-NA lithography with polarized light illumination is introduced as the solution of 45nm or 32nm node
technology. In that case, consideration of new characteristics of masks and substrates has been required. One of these is
birefringence of quartz substrates. It has been said that birefringence of substrates affects printed CD on the wafer and is
required to control on the masks or substrates. Current birefringence measurement system requires some millimeters
windows if it measures on the masks. So, it is impossible to measure the birefringence on the entire field of the patterned
masks. And it is said that birefringence is caused by inside stress of the material. That of quartz substrate may be affected
by film stress, thermal effect during bake process or pressure of pellicle mounting.
In order to confirm birefringence variation during mask process, we measured the birefringence in between each mask
processes. We have prepared ultra low birefringence quartz substrates to check the small volume of birefringence change.
Number of measurements for each mask and each process was 11 X 11 = 121 locations which covers 126mm square on the
mask. 4mm square window for each measurement locations were opened at the mask process. It was enabled to measure
birefringence after mask process as well as before and after pellicle mounting. Substrate's birefringence is typically called
as a maximum birefringence value. We analyzed maximum birefringence change and calculated the shift of birefringence
for each process to confirm the effect of birefringence change for each processes.
Hyper-NA lithography with polarized light illumination is introduced as the solution of 45nm or 32nm node
technology. In that case, consideration of new characteristics of masks and substrates has been required. Mainly,
following three materials, quartz substrates, absorber or phase shifter materials and pellicle films, have been discussed
for that issue.
Item to be discussed on quartz substrates is birefringence. It has been said that birefringence of quartz substrates
affects printed CD on the wafer and is required to control on the masks or substrates. We will report how substrate
birefringence affects the printed CD error by 3D simulations.
Item of absorber or phase shifter material is optical characteristics. We will discuss about how optical parameters of
mask materials affect to diffracted light intensity balance and how these characteristics also affect to printing
performance by 3D simulation results. In the result of this section, we will show current 6%EAPSM film has good
printing performance down to half pitch 45nm.
Item of pellicle film is thickness optimization. It has been described in some papers that the issues will occur if the
film's characteristics will not been changed. Main issue is transmission change caused by film thickness variations. We
will report current pellicle film's performance and will propose how to minimize this issue by the thickness optimization.
In order to confirm those items, we used the pattern model as minimum half-pitch = 45nm and target CD on the
wafer = 45nm for 3D simulations. The illumination condition of the scanner was used as maximum NA=1.35, Dipole or
Cross quadrupole shape and polarized illumination.
To control the CD precisely, inorganic "Hard-Mask" which we expect one of the candidates for 45nm-node and beyond
technology was evaluated. Hard-Mask which is inserted between resist and Cr layer of a photomask blank enable us to
use high anisotropic etch condition. Also it enhances the resist resolution because it can avoid the interaction between
resist and Cr. This time, we confirmed the benefit of Hard-Mask which could reduce the etch bias and proximity process
error. Especially proximity process error was reduced down to 1/4. And resolution enhancement effect was observed. We
also confirmed the blank quality such as defects, film stress, sheet resistance, optical properties and so on, and found that
Hard-Mask blank would not be a showstopper for this development.
The mask-making process for 45nm-node and beyond demands higher resolution and CD accuracy. To meet the requirements, the multi-layer resist system is developed as one of the solutions. BIL (Bottom Insulating Layer) can correct the profile of CAR (Chemically Amplified Resist). CAR shows profile degradation by photo-acid loss at the boundary of chrome and resist. The photo-acid loss induces excess footing in positive-tone CAR and under-cutting in negative-tone CAR. BIL reduced the profile degradation to less than half of the conventional resist system. BIL requires no extra mask process steps. Final CD linearity of isolated lines was improved by BIL. It is very beneficial for the patterning of sub-resolution assist features. Moreover, BIL with a hard-mask layer showed superior dry-etching bias performance.
We propose a new criterion for mask birefringence in polarized illumination. Mask birefringence is one of the
critical properties of polarized illumination, because the illumination polarization is disturbed by the birefringence of a
mask substrate. From this point of view, the allowable mask birefringence has already been analyzed. In these analyses,
only the absolute values of birefringence have been specified. As has been pointed out, the mask is a rotation retarder
for the polarized illumination. Therefore, the angle of the fast axis of mask birefringence also affects the state of
polarization.
The new criterion of mask birefringence which we propose here adopts the angle of fast axis as well as the
absolute value of birefringence. This new criterion correlates well with the printed critical dimensions (CDs). To
demonstrate this, printed CDs were calculated as a function of birefringence. A lithography simulator was used to verify
the fit of the new criterion. In this simulation, experimentally measured absolute values of birefringence and the angle
of fast axis were used. The simulation showed that there was poor correlation between printed CDs and the absolute
values of birefringence. On the other hand, the new criterion exhibited a good correlation with the printed CDs. This
difference is attributed to the effect of the angle of fast axis.
Advanced process control (APC) of photomask dry-etching has been studied for strict mean control of both CD and phase angle of phase shift masks (PSMs). Equations to correlate process information with actual etching results have been developed for this purpose. It is showed that plasma reactance measured with RF sensor has noticeable correlation with Cr etching bias, which is affected by Cr load and condition of etching chamber. Simulation of etching bias based on plasma reactance shows the good agreement with the trend of actual etching results. Expectation of process capability index (Cpk) for mean-to-target (MTT) within 5.2nm is about 1.27, corresponding to CD yield more than 99.9%. In case of MoSi based PSMs, monitoring the sensor outputs is also useful to simulate the etching rate of phase shifter. One simple relationship can be also derived as the case of Cr etching bias. Expected phase error is within 1.5degree in almost cases. In actual photomask fabrication, maintenance of the equation for APC is a critical issue to guarantee the high process yield for a long period. It is showed that trend of the plasma reactance gives the meaningful information effective in automatic maintenance of the equations. As a conclusion, it is proved that our APC method is one of the answers to give the highest MTT yield for both CD and phase angle.
In reticle production for 90-nm node generation and beyond, quite strict chrome CD control is strongly demanded. For chrome dry-etching process, esetablishment of reliable system for chrome endpoint detection is one of critical issues. In this paper, the effectiveness of radio frequency (RF) sensor as a process monitor for chrome dry-etching was examined. As general endpoint detection system, such as Laser endpoint detection (Laser EPD) and optical emission spectroscopy (OES), the system based on RF sensor shows the ability to get chrome endpoint. Experimental data implied its competence as an endpoint detector for the plates of various chrome loads with enough stability and reliability. Moreover, this sensor has an advantage that plasma impedance observed with the sensor has a correlation with etching performance, such as etching bias and its uniformity. This property is useful, because feedback of the variation of sensor output to process condition is able to play important role in control of reticle CD. As a consequence, the concept of advanced process control based on the RF sensor is proposed.
TaSiOx shifter has been developed for HT-PSM for ArF and F2 laser lithography. Adopting bilayered structure and embedding an etch-stop function into the transmittance control layer enable us to fabricate a TaSiOx-HT without quartz damage and to control the phase precisely. And less impact of TaSiOx shifter etching to CD was confirmed. It was confirmed this TaSiOx-HT was inspected by conventional inspection system without any problem.
We developed a new shifter for half-tone phase shift masks (HTPSMs) in ArF and F2 lithography, using a TaSiOx film. We have adopted the bilayer structure, which consists of a TaSiOx layer and a transmittance control layer (TCL), to make various transmittance blanks by controlling the thickness of each layers (without changing the film compositions) and to achieve lower transmittances at mask inspection wavelengths. Because we expected that the ratio of Si to Ta in TaSiOx layer was an important parameter regarding defect quality, we decided the Si/Ta ratio for 6% transmittance ArF-HTPSM, high transmittance ArF-HTPSM and F2-HTPSM, respectively, considering the inspection light transmittance. We sputtered 6-20% transmittance ArF HTPSM blanks and 9-13% transmittance F2 HTPSM blanks and confirmed that the experimental transmittance and phase results fitted the optical simulation results well and the inspection light transmittances of all blanks were lowered successfully. TaSiOx-HTPSM had good deposition stability (within plate and plate to plate). Furthermore, TaSiOx-HTPSM showed excellent laser irradiation durability and practical chemical durability.
Specifications for advanced photomask are becoming more and more stringent as the industry shifts to smaller lithography nodes. Among various requirements for photomasks, the need for stringent mean to target (MTT) control of critical dimensions (CDs) as well as the reduction of defects is the hottest issue for current photomask manufacturers. In this paper a unique photomask manufacturing method for precise CD-MTT control is described and an approach to defect free manufacturing (DFM) is also proposed. In the new method, a two-step compensation to cancel CD errors is adopted. Its essence is the selection of metrology tools used in each step. An MTT of +/- 5nm is achieved using this method. For DFM, a cluster tool, combining the processing tools with each other by a robot handler, has been installed and avoiding human handling is proved to be a correct way.
An alternating phase shift masks can improve resolution and lithographic latitude. However, Alt-PSMs have not yet become practical because of difficulty in their tight phase and defect control. In this paper, we focused on how to control both phase uniformity and phase mean value of etched quartz shifters. We found that a material of a dry-etching tale (cover plate of work electrode) was strongly affected for phase uniformity. By choosing an adequate material, phase uniformity of 1.9% could be achieved. Micro-loading effect and loading effect degrade phase controllability. Loading effect was not observed in our etching conditions. But micro-loading effect was observed. Back exposure process was useful to prevent micro-loading effect. To improve mean value controllability, 2 step etching process was adopted. By using this method, mean value cold be controlled within plus or minus 2 degrees.
Dry-etching process has possibility for fabricate small features and to enhance resolution limit because of smaller CD shift during etching. Recently, optical proximity patterns (OPC) like serif decorations begin to be adopted for mass-production masks, Dry etching is effective for OPC patterns fabrication. However, in order to bring dry-etching into production, some issues have to be overcome. In this paper, we evaluate etching characteristics such as selectivity to resist, edge roughness, edge shape, loading effect, micro-loading effect, critical dimension uniformity, and performance of optical proximity pattern fabrication using inductively coupled plasma, plasma etch, and reactive ion etching. It was confirmed that dry-etching process reduced edge roughness of chrome patterns. Among three plasma sources, ICP was most effective for reducing loading effect and micro-loading effect and obtaining vertical edge shape. The issue of dry process with ICP was low selectivity to resist. It was approximately 1. CD loss uniformity processed with each dry etching system was not satisfied with our target. Finally, we demonstrated to fabricate capacitor patterns of 0.15 micrometers -design rule with serif decorations.
Alternating phase shift masks are being developed for below 0.20 micrometer lithography. Repairing shifter defects is one of key technologies to make them practical. Etched quartz shifter is mostly utilized for KrF excimer laser. Gas assisted etching (GAE) with a focused ion beam has been investigated for reducing Ga stain in repairing quartz defects. This method, however, has difficulties, occurrence of a 'riverbed' and another in end-point-detection, because a defect and the substrate are of the same material. This paper describes a new method of repairing quartz defects. It consists of two steps. In the first step, a defect is etched except the edge region by using GAE before wet etching. Therefore, the edge remains like a wall. In the second step, the edge wall is removed during wet etching. For evaluating the effectiveness of this method, a test plate containing two types of programmed defects, quartz extrusions into clear and quartz bridges, were prepared. After the repair, the printability of the repaired area was evaluated with AIMS simulation. Riverbeds were not observed after the repair and the critical dimension (CD) variation of the repaired area through focus change was within plus or minus 5% around 0.2 micrometer between defocus of plus or minus 0.5 micrometer for 0.2 micrometer lines and spaces. The practicability of our new method is also reported with respect to its allowance for side-wall thickness, that of etching depth and its dependence on defect size.
Half-tone phase shift masks with Cr-based shifters have been developed for i-line lithography. The printability of defects is determined with test marks for the four categories of defects: clear defects (pinholes and intrusions) and opaque defects (pinspots and extrusions) under the conditions that a normal hole of 0.40-micrometers width is formed by an i-line stepper with NA equals 0.57. The detectability with a KLA219HRL-PS and that of a KLA331 are also evaluated. The minimum detectable defect size is found to be smaller than the minimum intolerable defect size for each category. Clear defects are repairable by covering them with carbon patches deposited by a focused-ion-beam repair system. On the basis of the consideration of both the shielding effect and the halo effect of the patch, the deposition conditions are optimized: the thickness is 150 nm and the patch edge should be placed just on the hole edge. Opaque defects are repairable by removing them with a laser repair system without any printable damage. A KLA219HRL-PS is used for inspection both before repair and before shipment. It is confirmed that the well repaired (i.e., unprintable) defects are undetectable. Quality assurance tools are summarized.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.