Double patterning is one of the most promising lithography techniques for sub-40nm half-pitch device
manufacturing. Several variations of double patterning processes have been reported by research groups, including a
dual-trench process (litho-etch-litho-etch) and a dual-line process (litho-litho-etch). Between these, the dual-line process
attracts the most attention because it is a simple process and achieves high throughput. However, there is concern that
the second lithography process damages the first lithography patterns in the dual-line process. Therefore, new
technology must be developed to keep the configuration of first lithography patterns during the second lithography step,
and to make this patterning process practical.
Recently, we succeeded in forming 32 nm half-pitch LS lithography patterns by the introduction of a new "freezing"
step. This step involves covering the first lithography pattern with a chemical freezing material to prevent damage by the
second lithography process. This process, the so called "litho-freezing-litho-etch" process, will achieve higher
throughput and lower cost compared to litho-etch-litho-etch.
In this study, the performance of this chemical freezing double patterning process is investigated for various
applications using a hyper NA immersion exposure tool. Imaging results including process window and etching results
of sub-30nm half-pitch LS and 40nm half-pitch CH with this freezing process are shown. Additionally, items such as
critical dimension uniformity and defect inspection using the freezing process were reviewed.
After an analysis of the factors that causes critical dimension (CD) variation in the lithography process of the LLE
(Litho-Litho-Etch) double-patterning technology that employs the freezing process, an optimum process for freezing the
resist patterns to reduce the CD variation, which occurs after the 2nd litho process, was achieved. By optimizing the track
parameters of freezing process, CD variation is likely to be reduced not only in the 1st resist pattern but also in the 2nd
resist pattern.
The optimum conditions were adopted to form patterns of 40 nm resist lines and spaces in the evaluations conducted in
this paper. The formation result showed improvement of 3 sigma of the within-wafer CD uniformity of both the 1st resist
pattern and the 2nd resist pattern, by about 13% and 46% respectively.
Mass production of 193-nm immersion lithography has been started. Top coat process is one of the practical solutions for
applying the conventional dry ArF resists to achieve low material leaching and good scanning property, etc... At the
present, the lithographic world requires non-topcoat process from the point of view of C.O.O. (cost of ownership),
however there are still concerns that have to be revealed and solved. In order to achieve higher scan speed, the superior
water repellent property is required at the surface of non-topcoat resist. On the other hand, the influence of water
repellent surface property to the track process has to be considered. In this report, the considered items (coating,
development, etc...) of the higher water repellent property in non-topcoat process were extracted. Material design for
optimization of surface property with JSR non-topcoat resist and novel rinse method from process were proposed as
solutions to the concerns. Optimization of surface property showed positive impact to the development and defect
performance. The novel rinse method "ADR" which has been developed by Tokyo Electron showed superior availability
to reduction of blob type defect.
Double patterning based on existing ArF lithography technology is one of the most promising candidates for sub-40nm half-pitch devices. Several variation of double patterning processes have been reported by research groups, including a dual-trench process (litho-etch-litho-etch) and a dual-line process (litho-litho-etch). Between these, the dual-line process is attracting the most attention because it is a simple process that achieves high throughput. However, there is concern that the second lithographic process damages the first litho patterns in the dual-line process. Therefore, new technology must be developed to keep the configuration of first litho patterns during the second lithographic step for this patterning process to be practical.
Recently, we have succeeded in forming sub-40nm half-pitch litho patterns by the introduction of a new "freezing" step to this process. This step involves covering the first litho pattern with chemical freezing materials to prevent damage by the second litho pattern creating a dual-line process composed of litho-"freezing"-litho-etch processes. In this paper, the details of dual-line process including a "freezing" step are explained and sub-40nm half-pitch litho patterns by this process are shown.
The combination of immersion lithography and reticle enhancement techniques (RETs) has extended 193nm
lithography into the 45nm node and possibly beyond. In order to fulfill the tight pitch and small critical dimension
requirements of these future technology nodes, the performance of 193nm resist materials needs to further improve. In
this paper, a high performance 193nm photoresist system based on fluorosulfonamide (FSM) is designed and
developed. The FSM group has good transparency at 193nm. Compared to the commonly used hexafluoroalcohol
(HFA) group, the trifluoromethyl sulfonamide (TFSM) functionality has a lower pKa value and contains less fluorine
atoms. Polymers containing the TFSM functionality have exhibited improved dissolution properties and better etch
resistance than their HFA counterparts. Resists based on the FSM-containing polymers have shown superior
lithographic performance for line, trench and contact hole levels under the 45nm node exposure conditions. In
addition, FSM resists have also demonstrated excellent bright field and dark field compatibility and thereby make it
possible to use one resist for both bright field and dark field level applications. The structure, property and lithographic
performance of the FSM resist system are reported.
ArF (193nm) immersion lithography is considered as the most promising next generation technology and significant effort to establish the immersion process for semiconductor device HVM is currently focused on the tool, material and process development. Immersion lithography enables the design of hyper numerical aperture (NA>1) lens systems by filling the gap between lens and resist with an immersion medium. Water is the ideal medium for 193nm immersion lithography and the water immersion system could reach up to 1.3 NA, giving higher resolution capability. There are several immersion specific requirements such as scanning properties and leaching characteristics. High speed scanning is necessary for mass production, so the water has to follow the lens and move on the resist film at considerably high speed. Direct water contact with resist film can cause the leaching of some resist components e.g. PAG. This leaching phenomenon could cause lithographic performance degradation and lens damage. Leaching and scanning phenomena are quite complicated and difficult to estimate the amount exactly with chemical analysis tools, so it is important to check the lithographic performance and scanning capability with a real immersion scanner. We have done many immersion experiments on various resists and top-coat materials using a Nikon immersion scanner (EET: Engineering Evaluation Tool). From the results, it was found that the properties of topcoat materials were closely related with immersion characteristics, such as scanning speed and defect formation. Specifically, defectivity evaluation results revealed that PAG leaching suppression was important for not only preventing lens damage but also reducing defect formation in the immersion process.
ArF (193nm) immersion lithography is considered as the most promising next generation technology and significant
effort to establish the immersion process for semiconductor device HVM is currently focused on the tool, material and
process development. One of the serious issues in the immersion process for the commercial semiconductor production
is the immersion-specific defects. Typical immersion-specific defects are nanobubble, watermark (W/M) defect, and
degradation of pattern profile caused by resist components leaching. The nanobubbles, which exist in the immersion
medium such as water, deform the optical image, and then cause the pattern profile degradation. Small water droplet left
on the resist film after scanning exposure causes W/M defect. Leaching of resist component induces insufficient
de-protection reaction at the resist surface region, then cause the T-top pattern profile or bridge type defect.
Among these immersion-specific defects, the effective countermeasure against W/M defect has not been established yet,
because the mechanism of W/M defect formation is not fully figured out. From the model experimental result, we have
found that W/M defect formation depends on the characteristics of photoresist and topcoat materials. Then we have
developed the new immersion topcoat which is soluble into aqueous TMAH developer, and this material provides
practical solution for W/M defect reduction. In this paper, we will report the mechanism of W/M defect formation which
is related to the characteristics of photoresist and topcoat material. Also W/M defect reduction process by using alkaline
soluble immersion topcoat will be discussed in detail.
ArF immersion lithography using a high-refractive-index fluid (HIF) is considered to be a promising candidate for the 32nm node or below. At SPIE 2005 we introduced a new immersion fluid, JSR HIL-1, which has a refractive index and transmittance of 1.64 and >98%/mm (193.4nm, 23 oC), respectively. With HIL-1 immersion and a two beam interferometric exposure tool, hp32nm L/S imaging has been demonstrated. In this paper, we will report another novel immersion fluid, HIL-2, which has a transmittance of >99%/mm, which is almost as high as that of water, and a refractive index of 1.65 (193.4nm, 23 oC). Furthermore, an ArF laser irradiation study has shown that the degree of photodecomposition for both HIL-1 and HIL-2 is small enough for immersion lithography application. A "fluid puddle" defect study confirmed that HILs have less tendency to form immersion-specific photoresist defects and the refractive indices of HILs were found constant under laser irradiation. Batch-to-batch variation in refractive index during manufacture of HILs was not observed. By refining prism designs, hp30nm L/S patterns have also been successfully imaged with two interferometric exposure tools and HIL immersion.
ArF immersion lithography is considered as the most promising next generation technology which enables to a 45 nm node device manufacturing and below. Not only depth of focus enlargement, immersion lithography enables to use hyper numerical aperture (NA) larger than 1.0 and achieve higher resolution capability. For 193nm lithography, water is an ideal immersion fluid, providing suitable refractive index and transmission properties. Furthermore the higher refractive index fluid is expected to provide a potential extension of optical lithography to the 32 nm node. This paper describes the material design for immersion lithography with high refractive index fluid. We have developed promising high refractive index fluids which satisfy the requirement for immersion fluid by screening wide variety of organic compounds. The physical and chemical properties of this high refractive index fluid are discussed in detail. Also the topcoat material which has good matching with high refractive index fluid is developed. While this topcoat material is soluble into aqueous TMAH developer, it does not dissolve into water or high refractive index fluid and gives suitable contact angle for immersion scan exposure. Immersion exposure experiments using high refractive index fluid with and w/o topcoat material was carried out and its lithographic performance is presented in this paper.
Contact hole shrink process is becoming more important option for 45nm node design rules. In general, lithography of contact hole has been harder than that of line and space application due to the low contrast of incident light. The contact hole size for 45nm node device will be around 60nm and this hole size will be the limit of 193nm lithography. High NA exposure tool for 193nm lithography achieves 60nm contact hole resolution, but both under dose margin and depth of focus will be limited. This fact results in the insufficient process window of 193nm lithography. Thus some supporting process should be necessary and a chemical shrink process is one of the possible approach to resolve 60nm contact hole with appropriate process margin. The general chemical shrink process is as follows. Chemical Shrink Material (CSM) is coated on patterned photoresist, and following bake process controls chemical cross-linking reaction and forming a layer insoluble into the developer. As a result pattern size is reduced to desired CD. However current CSM has several issues: i.e. inferior etching durability of CSM than that of 193nm resist and pattern profile degradation after the process. This will be the critical problem for pattern transfer process using CSM. From this point of view, we developed a novel CSM which has good etching durability compared with 193nm resist and does not have a pattern profile degradation. This material consists of aromatic moiety to satisfy good etching durability. Also, the shrink rate and amount are not pitch dependent.
The quencher mechanisms in Chemically-Amplified (CA) resists have been investigated. To explain the acid distribution with a variety of acid strengths in the presence of quencher, a new full Acid-Equilibrium-Quencher model (AEQ model) is proposed and examined in solid-model-CA-resist systems. To observe the reactions in the CA resists, real-time Fourier-Transform-Infrared Spectroscopy (FTIR) is employed during post-exposure bake (PEB). The FTIR peaks of the protection groups are detected to measure the reaction kinetics during PEB. The solid-model-CA resists used in this work consist of both a KrF-acetal-type resist with a diazomethane Photo-Acid Generator (PAG) (weaker-photoacid system) and an ArF-ester-type resist with a sulfonium-salt PAG (stronger-photoacid system). The obtained FTIR results are analyzed using conventional Full-Dissociation-Quencher model (FDQ model) and the new AEQ model. The kinetic analysis of the model resists was performed for different quencher loadings. For the weaker-photoacid system, the AEQ model much more accurately predicts the deprotection-reaction kinetics than the FDQ model with the change of quencher content. This suggests the necessity of introduction of the acid-dissociation concept in the case of the weaker photoacid. For the stronger-photoacid system, both the AEQ and conventional FDQ models adequately predict the kinetic results. This shows that the conventional FDQ model is accurate enough to simulate the super-strong photoacid system. Finally, the new AEQ model is introduced in the UC Berkeley STORM resist simulator. Some simulation examples are shown in the paper.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.