Next generation EUV scanners have been introduced with anamorphic, obscured multi-layer optics for operation at 0.55NA. Aberrations are of particular concern with high-NA EUVL, as the 13.5nm wavelength has returned wavefront phase errors to near I-line levels. With the central obscuration necessary so that additional lenses aren’t needed, the Zernike basis is no longer orthonormal, resulting in coefficient values which are dependent on the number of fitted terms. For an industry transition to a Fringe Tatian wavefront description to be successful, it is important to incorporate and carryover the intuitive understanding of the imaging effects of common aberrations. Using modifications to Prolith and Dr.LiTHO lithography simulators, this work defines a simulated lithography lens using the Fringe Tatian basis and includes simulations of common patterning conditions for next generation high-NA EUV nodes.
Layout designs are reaching the resolution limit for 0.33NA extreme ultraviolet lithography (EUVL) systems, with 0.55NA high-NA on the horizon. Alternative mask designs at reduced absorber thickness for higher image contrast have become necessary. Novel absorber candidates are classified as attenuated phase shifting mask (attPSM) absorbers, high-k mask absorbers and index matched absorbers (n ≈ 1) based on the complex refractive index (n – ik). We identify absorber candidates through effective media approximation (EMA) model and discuss design considerations for attPSM absorbers. Optimum phase shift for EUV attPSM is higher than π and it is influenced by the absorber material, diffraction angle at the mask, mask pattern, NA and absorber reflectivity. Index matched mask absorber designs with higher extinction coefficient are also proposed as promising candidates.
SMO sources with pupil fill values as low as 0.15 are targeted for use in High-NA EUV imaging to deliver improved NILS and contrast. These condensed source shapes in combination with high energy sources using 13.5nm light are placing ever increasing photo intensities onto EUV lens and masks. Furthermore, the highly focused diffraction energy of line space and contact patterns results in beam fluences that over time, may cause the multilayer performance to drift from the ideal. In this work a method for predicting imaging impacts in the high-NA, low pupil fill regime for simulated multilayer mirrors is presented.
Attenuated phase shifting masks (attPSM) for EUV lithography have shown the potential to improve the aerial image contrast through light and phase modulation and reduced mask three-dimensional (M3D) effects through thinner absorber. However, a robust optical design capable of generating almost identical performance for various patterns and feature sizes needs to be determined. The ability to identify and experimentally verify various mask absorber candidates is both challenging and expensive. Effective media approximation (EMA) identified material candidates have been shown to simplify the determination of material candidates through known optical constants of the constituent elements. An approach to engineer the desired optical properties and experimentally verify EMA modeling technique through multilayer thin films is presented. Mo – Ni multilayer films satisfying EMA requirement are deposited through RF magnetron sputtering. The verification of optical constants for multilayer films with 20%, 50% and 90% Ni volume fractions is performed at visible wavelengths through UV-Vis-NearIR variable angle spectroscopic ellipsometry (VASE). EMA modeled multilayer absorber candidates are shown to have the flexibility in obtaining desired optical properties based on the layout-design requirements.
Alternatives to Ta-based absorbers are being considered for next generation lithography nodes to reduce 3D mask effects and to improve image modulation through phase interference. Low complex refractive index (n – ik) materials can provide phase shifting behavior at thicknesses less than those needed for conventional absorbers, essentially acting as attenuated phase shift mask (attPSM) films. Identifying attPSM absorber thickness and consequent phase requires determining optimum phase shift mask reflectance. Imaging with absorbers at high reflectance show better imaging performance. The absorber thickness is determined where the interference effects lead to high absorber reflectivity. Low refractive index (n) materials are therefore desired as candidate attPSM absorbers. Low – n material combinations identified using Wiener bounds and Effective media approximation (EMA) modelling are optimized for NILS and MEEF using absorber reflectivity on line-space and contact-holes patterns. Absorber candidates at optimum thickness for contact holes are compared with conventional Ta-based absorber using reflected nearfield intensity imaging.
The method to perform Optical Proximity Correction (OPC) model calibration with contour-based input data from both small field of view (SFoV) and large field of view (LFoV) e-beam inspection is presented. For advanced OPC models - such as Neural Network Assisted Models (NNAM) [1], pattern sampling is a critical topic, where pattern feature vectors utilized in model training, such as image parameter space (IPS) is critical to ensure accurate model prediction [2-5]. In order to improve the design space coverage, thousands of gauges with unique feature vector combinations might be brought into OPC model calibration to improve pattern coverage. The time and cost in conventional Critical Dimension Scanning Electron Microscope (CD-SEM) metrology to measure this large amount of CD gauges is costly. Hence, an OPC modeling solution with contourbased input has been introduced [6]. Built on this methodology, a single inspection image and SEM contour can include a large amount of information along polygon edges in complex logic circuit layouts. Namely, a better feature vector coverage could be expected [7]. Furthermore, much less metrology time is needed to collect the OPC modeling data comparing to conventional CD measurements. It is also shown that by utilizing large field 2D contours, which are difficult to characterize by CD measurements, in model calibration the model prediction of 2D features is improved. Finally, the model error rms of conventional SFoV modeling and LFoV contour modeling between SEM contours and simulation results are compared.
EUV lithography has enabled shrinking feature sizes up until iN7 using the current Ta-based mask absorber. As we explore next generation nodes, iN5 and beyond, the mask three dimensional (M3D) effects will have a significant impact at wafer level due to the mask architecture, and the oblique illumination angles [1-2]. In order to mitigate these effects, we explore the optical performance of two alternative mask absorber candidates; a High-k absorber and an attenuated phase shifting mask absorber (AttPSM) and compare them to the current Ta-based mask absorber. We evaluate and compare the mask absorbers for memory and logic layers by lithographic source-mask optimization (SMO) using Mentor’s pxSMO tool with ASML’s NXE3400B settings. For memory, contact-holes are simulated using dark-field mask whereas the pillars case is simulated with bright field mask to evaluate bright field as a mask option for EUV with alternative mask absorbers. For logic case, we test these absorbers on iN5 self-aligned block (SAB) layer [3]. The self-aligned block layer is also simulated by adding sub-resolution assist features (SRAFs) to predict the insertion point of SRAFs for logic designs and see if new mask absorber material can reduce the need of SRAF insertion. SMO for memory case shows higher common depth of focus (cDOF) and lower edge placement error (EPE) for High-k absorber over the conventional TaBN mask absorber, whereas significant gain in normalized image log slope (NILS) is observed for the AttPSM absorber. The logic case also has better performance in terms of common depth of focus (cDOF), NILS, EPE mask error enhancement factor (MEEF) and process variation band (PV-band). Adding SRAF’s to iN5 SAB improves the PV-band and image shift through focus for all three cases.
In this work we are introducing a manufacturing flow for the SALELE Process in details. Starting with layout decomposition, where the drawn layer is decomposed into 4 Masks: 2 Metal-like Masks, and 2 Block-like Masks. Then each of these masks is subjected to Optical Proximity Correction (OPC) process, and here we explain more about the OPC recipe development for each mask. Then we introduce a verification flow that performs two levels of verifications: (a) Litho verification, where the litho fidelity of each mask is quantified based on image quality measurements. (b) Final Manufactured shapes verification vs. expected output. This work has been carried out on an N3 candidate layout designed by IMEC.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.