Lithography faces an increasing number of challenges as errors in pattern overlay and placement become increasingly significant as scaling continues. The flexibility of removing a lithography step offers a significant advantage in fabrication as it has the potential to mitigate these errors. Furthermore, this strategy also relaxes design rules in semiconductor fabrication enabling concepts like self-alignment. The use of selective area atomic layer deposition with self-assembled monolayers that incorporate different side group functionalities was evaluated in the deposition of a sacrificial etch mask. Monolayers with weak supramolecular interactions between components (e.g. Van der Waals) were found to exhibit significant defectivity when depositing this material at and below 100nm feature sizes. The incorporation stronger supramolecular interacting groups in the monolayer design, such as hydrogen bonding units or pi-pi interactions, did not produce an added benefit over the weaker interacting components. However, incorporation of reactive moieties in the monolayer component enabled the subsequent reaction of a SAM surface generating a polymer at the surface and providing a more effective barrier, greatly reducing the number and types of defects observed in the selectively deposited ALD film. These reactive monolayers enabled the selective deposition of a film with critical dimensions as low as 15nm. The deposited film was then used as an effective barrier for standard isotropic etch chemistries, allowing the selective removal of a metal without degradation to the surrounding surface. This work enables selective area ALD as a technology by (1) the development of a material that dramatically reduces defectivity and (2) the demonstrated use of the selectively deposited film as an etch mask and its subsequent removal under mild conditions.
As EUV approaches its insertion point into high volume manufacturing the semiconductor industry is increasingly focusing on photoresist performance. Recently metal containing resists have been proposed as alternatives to standard Chemically Amplified (CA) systems. Both approaches suffer from an incomplete knowledge of the EUV imaging mechanism. In particular the origin, number and fate of the secondary electrons believed to be active in the resist reactions is poorly understood. In this contribution we describe a study designed to try and characterize these processes and quantify the reactions that determine resist performances.
We will describe experiments on a series of model CA systems doped with inorganic salts. Photoacid yields and relative rates of deprotection will be reported for metal salts that can be incorporated into polymer films at concentrations as high as 10 molal. In addition to comparing the relative performance at EUV we will also be characterizing the response at 248 nm and 100 KeV e beam. The results of these studies will be discussed in terms of the metal ion crossection, ionization potential and redox potential. In addition we will describe some unanticipated EUV reactivity of standard acid indicators that may impact the accepted electron yield/photospeed measurements that have been reported for EUV CA resists.
Directed self-assembly (DSA) with block-copolymers (BCP) is a promising lithography extension technique to scale below 30nm pitch with 193i lithography. Continued scaling toward 20nm pitch or below will require material system improvements from PS-b-PMMA. Pattern quality for DSA features, such as line edge roughness (LER), line width roughness (LWR), size uniformity, and placement, is key to DSA manufacturability. In this work, we demonstrate finFET devices fabricated with DSA-patterned fins and compare several BCP systems for continued pitch scaling. Organic-organic high chi BCPs at 24nm and 21nm pitches show improved low to mid-frequency LER/LWR after pattern transfer.
To extend scaling beyond poly(styrene-b-methyl methacrylate) (PS-b-PMMA) for directed self-assembly (DSA), high quality organic high-x block copolymers (HC series) were developed and applied to implementation of sub-10 nm L/S DSA. Lamellae-forming block copolymers (BCPs) of the HC series showed the ability to form vertically oriented polymer domains conveniently with the in-house PS-r-PMMA underlayers (AZEMBLY EXP NLD series) without the use of an additional topcoat. The orientation control was achieved with low bake temperatures (≤200 °C) and short bake times (≤5 min). Also, these process-friendly materials are compatible with existing 193i-based graphoepitaxy and chemoepitaxy DSA schemes. In addition, it is notable that 8.5 nm organic lamellae domains were amenable to pattern development by simple dry etch techniques. These successful demonstrations of high-x L/S DSA on 193i-defined guiding patterns and pattern development can offer a feasible route to access sub-10 nm node patterning technology.
KEYWORDS: Picosecond phenomena, Polymethylmethacrylate, System on a chip, Scanning electron microscopy, Image segmentation, Photomasks, Etching, Electron beam lithography, Composites, Directed self assembly
Diminishing error tolerance renders the customization of patterns created through directed self-assembly (DSA) extremely challenging at tighter pitch. A self-aligned customization scheme can be achieved using a hybrid prepattern comprising both organic and inorganic regions that serves as a guiding prepattern to direct the self-assembly of the block copolymers as well as a cut mask pattern for the DSA arrays aligned to it. In this paper, chemoepitaxy-based self-aligned customization is demonstrated using two types of organic-inorganic prepatterns. CHEETAH prepattern for “CHemoepitaxy Etch Trim using a self-Aligned Hardmask” of preferential hydrogen silsesquioxane (HSQ, inorganic resist), non-preferential organic underlayer is fabricated using electron beam lithography. Customized trench or hole arrays can be achieved through co-transfer of DSA-formed arrays and CHEETAH prepattern. Herein, we also introduce a tone-reversed version called reverse-CHEETAH (or rCHEETAH) in which customized line segments can be achieved through co-transfer of DSA-formed arrays formed on a prepattern wherein the inorganic HSQ regions are nonpreferential and the organic regions are PMMA preferential. Examples of two-dimensional self-aligned customization including 25nm pitch fin structures and an 8-bar “IBM” illustrate the versatility of this customization scheme using rCHEETAH.
Carbon rich hard mask underlayer (UL) material deposition has become inevitable process in all advanced lithography applications. UL processes which include chemical vapor deposition (CVD) and spin-on UL play a very important role for pattern transfer from patterned thin photoresist to the substrate. UL materials must satisfy several requirements, which have become more demanding with device shrinkage and increasing device complexity (FinFET, 3D integration). The most important properties of next generation UL materials are superior wiggle resistance, etch controllability, thermal resistance, planarization, and gap filling performance. In particular, planarization and gap fill properties of UL material for application on topo-patterned substrate are receiving much attention recently. CVD processes generally give better wiggle performance and thermal resistance, but poorer planarization and gap filling performance than spin-on UL processes. In addition, Cost of Ownership (CoO) of CVD process is higher than that of a spin-on UL process. Therefore spin-on organic hard mask (OHM) process has been investigated as an attractive alternative to CVD processing. In this paper, we focus on an investigation of key properties of spin-on UL materials for achieving good planarity and gap filling performance on topo-patterned substrate. Various material properties such as solution viscosity, glass transition temperature (Tg), and film shrinkage ratio were evaluated and correlations between these properties and planarization were discussed.
Pattern customization is a necessary requirement to achieve circuit-relevant patterns using block copolymer directed
self-assembly (DSA), but the edge-placement error associated with customization steps after DSA is anticipated to be at
the scale of the pattern features, particularly as a result of overlay error. Here we present a new self-aligned approach to
the customization of line-space patterns fabricated through chemical epitaxy. A partially inorganic chemical pattern
contains a prepattern with pinning lines and non-guiding “blockout” features to which the block copolymer domains are
aligned. Pattern transfer results in a line-space pattern with self-aligned customizations directly determined by the
prepattern. In the transferred pattern, pinning lines determine the placement of single-line gaps while blockout features
determine the placement and size of perpendicular trim across lines. By using designed two-dimensional chemical
patterns, this self-aligned, bidirectional customization scheme enables the fabrication of high-resolution circuit-relevant patterns with fewer trim/exposure steps.
Extensive pattern customization will be necessary to realize viable circuit patterns from line-space arrays generated by
block copolymer directed self assembly (DSA). In pattern customization with regard to chemical epitaxy of lamellar
block copolymers, quantitative and precise knowledge of DSA-feature registration to the chemical prepattern is critical.
Here we measure DSA pattern placement error for spatial frequency tripling and quadrupling indexed to specific lines in
the chemical prepattern. A range of prepattern line widths where minimal DSA placement error can be expected is
identified, and a positive correlation between DSA placement accuracy and prepattern uniformity is shown. Considering
the experimental non-idealities present in the chemical prepatterns used in this work that arise from using electron-beam
lithography, we anticipate that 3σ DSA placement errors will be at a minimal level if highly uniform chemical
prepatterns produced by optical lithography are used.
A photomask design flow for generating guiding patterns used in graphoepitaxial DSA processes is proposed and tested. In this flow, a new fast DSA model is employed for DSA structure verification. The execution speed and accuracy of the fast model were benchmarked with our previously reported Monte Carlo method. We demonstrated the process window verification using the OPC/DSA flow with the fast DSA model and compared this with experimental results in the guiding patterns simulated by e-beam lithography.
Semiconductor manufacturing technology is currently undergoing a transformation from immersion
photolithography to double patterning or EUV technology. The resultant resist dimensional size and height shrinks will
require improved pattern transfer techniques and materials.
Underlayer (UL) processes which include chemical vapor deposition (CVD) and spin-on application play a very
important role in various chip manufacturing integration schemes. A pattern wiggling problem during substrate etch has
arisen as a critical issue when pattern dimensions shrink. CVD processes have shown better pattern transfer performance
than spin-on processes but at higher cost and process complexity along with difficulty in obtaining planarization and
good gap fill. Thus spin-on process development has received increased attention recently as an attractive alternative to
CVD processing.
In this work we focus on elucidating the mechanism of UL wiggling and have synthesized materials that address
several hypothesized mechanisms of failure: hydrogen content, modulus, film density, charge control unit type and
thermal resistance. UL materials with high thermal resistance additionally provide the ability to expand the applicability
of spin-on approaches. Material properties and wiggle failure test results will be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.