As the VLSI feature size has already decreased below lithographic wavelength, the printability problem due to strong
diffraction effects poses a serious threat to the progress of VLSI technology. A circuit layout with poor printability implies
that it is difficult to make the printed features on wafers follow designed shapes without distortions. The development
of Resolution Enhancement Techniques (RET) can alleviate the printability problem but cannot reverse the trend of deterioration.
Moreover, over-usage of RET may dramatically increase photo-mask cost and increase the cycle time for
volume production. Thus, there is a strong demand to consider the sub-wavelength printability problem in circuit layout
designs. However, layout printability optimization should not degrade circuit timing performance. In this paper, we introduce
a wire sizing and spacing method to improve wire printability with minimal adverse impact on interconnect timing
performance. A new printability model is proposed to handle partially coherent illuminations. The difficult problem of
printability optimization due to its multimodal nature is handled with a sensitivity based heuristic in timing aware fashion.
Lithographic simulation results show that our approach can improve the printability in term of EPE (Edge Placement Error)
by 20%-40% without violating timing, wire width and spacing constraints.
We present a novel, accurate methodology for investigating the kinetics of photoacid diffusion and catalyzed-deprotection of positive-tone chemically amplified resists during postexposure bake (PEB) by in-situ monitoring the change of resistance and capacitance (RC) of resist film during PEB. Deprotection converts the protecting group to a volatile group, which changes the dielectric constant of the resist. Thus, the deprotection rate can be extracted from the change of capacitance. The photoacid diffusivity is extracted from the resistance change because diffusivity determines the rate of change of the acid distribution. Furthermore, by comparing the RC curves, the dependence of acid diffusivity on the reaction state can be extracted. The experiment is done on Shipley UV5 resist with 248-nm wavelength illumination, an Agilent LCR meter is used to measure RC curves, and resist thickness loss is recorded. The kinetics of non-Fickean acid transportation, deprotection, free-volume generation and absorption/escaping, and resist shrinkage are analyzed. A comprehensive model of these chemical/physical mechanisms is proposed, whose parameters are extracted though a divide-and-conquer strategy with high accuracy. The theoretical RC curves fit the experiment with rms error less than 0.1%. The effects of free volume, polymer relaxation, and deprotection-induced polarity change on acid diffusion are also identified.
Fatal injury of bacteria opens transmembrane ion pathways that create temporary ion clouds around the cells. This ion release transiently charges bacteria yielding spatiotemporal fluctuations of the electrical field which show up like a "fatal scream" in thermal noise. The effect has recently been demonstrated with the specific injuries caused by bacteriophage infections (King, et al, in press) and suggested for identification of bacteria with extraordinary speed and selectivity. Calculations indicate that the detection and identification of a single bacterium can be achieved with natural (wild) phages with reasonable efforts within a time window of 10 minutes. However the potential applicability of the agent-triggered ion cascade reaches much beyond that, including other kinds of injuries, such as those induced by antibiotics, ageing, poisoning, etc. Considerations and open questions about the physical aspects of the fluctuations and their detectability are discussed in this talk.
KEYWORDS: Bacteria, Ions, Sensors, Field effect transistors, Signal detection, Polymethylmethacrylate, Solid state electronics, Electron beam lithography, Molecules, Microfluidics
This paper presents a nanowell device that detects the nano-scale electric field fluctuations due to ion cascade in bacteria. Solid-state nano devices allow for the measurement and analysis of fluctuation on the single cell or molecule scale, which can offer orders of magnitude higher sensitivity than microscopic measurements through conventional sensors. We fabricated a nanowell that is a 150nm wide gap in the middle of a titanium line on LiNbO3 substrate. The noise in the electrical current through this gap is measured. When bacteria are infected by bacteriophage, a large amount of ions are released, which yields spatiotemporal fluctuations of electric potential captured by this nanowell. It was demonstrated that this technology can be used to identify bacteria within minutes using the high specificity of phage/bacteria interaction. The perspective of building a biochip with hundreds of nano devices, immobilized phages and microfluidic channels so as to identify a large variety of bacteria is also discussed in this paper.
In an era of potential bioterrorism and pandemics of antibiotic-resistant microbes, bacterial contaminations of food and water supplies is a major concern. There is an urgent need for the rapid, inexpensive and specific identification of bacteria under field conditions. Here we describe a method that combines the specificity and avidity of bacteriophages with fluctuation analysis of electrical noise. The method is based on the massive, transitory ion leakage that occurs at the moment of phage DNA injection into the host cell. The ion fluxes require only that the cells be physiologically viable (i.e., have energized membranes) and can occur within seconds after mixing the cells with sufficient concentrations of phage particles. To detect these fluxes, we have constructed a nano-well, a lateral, micron-size capacitor of titanium electrodes with gap size of 150 nm, and used it to measure the electrical field fluctuations in microliter (mm3) samples containing phage and bacteria. In mixtures where the analyte bacteria were sensitive to the phage, large stochastic waves with various time and amplitude scales were observed, with power spectra of approximately 1/f2 shape over at 1 - 10 Hz. Development of this SEPTIC (SEnsing of Phage-Triggered Ion Cascades) technology could provide rapid detection and identification of live, pathogenic bacteria on the scale of minutes, with unparalleled specificity. The method has a potential ultimate sensitivity of 1 bacterium/microliter (1 bacterium/mm3).
This paper develops a rigorous and rapid model for the simulation of 3D optical imaging in resist in Liquid Immersion Lithography (LIL) by using full vector, Fourier Optics approach. The wave exiting the lens is decomposed as a set of vector plane waves that are incident upon resist stack. The transmitted and reflected waves in resist are calculated by solving the simplified boundary conditions in matrix form. Then the field in resist is constructed by Fourier transform. The partially coherent illumination is modeled by discretizing the source into many point sources, calculating the fields due to every source and then adding the light intensities together. Based on this model, this paper compares the performance of 193nm dry and water immersion lithography. Water LIL has better Depth-of-Focus (DOF) and contrast, but exaggerates the polarization effect. The paper further evaluates the impacts of extreme NA, polarization, and partial coherence on the image quality in 193nm water LIL with binary mask by simulating the latent images of 70nm equal line/space. The profiles and contrast of TE and TM images are compared, assuming 0.85 NA and 0.7 σ. The optimum σ is observed from contrast curves, and the process window is obtained. The major concerns of LIL are small DOF and image degradation due to TM waves. Possible solutions are discussed.
In sub-wavelength lithography, light field Alt-PSM (Alternating Phase Shifting Mask) is an essential technology for poly layer printability. In a standard cell based design, the problem of obtaining Alt-PSM compliance for an individual cell layout has been solved well [3]. However, placing Alt-PSM compliant cells together can not guarantee Alt-PSM compliance of the entire chip/block layout due to phase interactions among adjacent cells. A simple solution to this Alt-PSM composability problem is to wrap blank area around each cell, which is very inefficient on chip area usage. In this paper, we formulate the composability problem as a graph model and propose a polynomial time optimal algorithm to achieve Alt-PSM composability with the least impact on cell layout.
This paper develops and validates a methodology for rigorously modeling the pattern transfer in alternating Phase Shift Mask (altPSM) process by incorporating mask structure, partially coherent illumination, polarization and resist in a full-vector electromagnetic (EM) model. Mask topography is included in EM simulation to solve for the field immediately after the mask. To model the partially coherent illumination, the light source is decomposed into a set of plane waves with different angles of incidence on mask. Each wave requires an EMF simulation over the mask. A perturbation approach is developed in this paper to reduce the EM simulation time by over 50%, thus enabling the vector model of partial coherence. Then the field after mask is decomposed into TE and TM polarized waves so as to calculate the field in resist/BARC/silicon multilayer. At high NA, this full vector model is needed to investigate altPSM because there exists appreciable difference between the images due to TE and TM waves. TM wave degrades more severely in resist, thus TE is more desirable. The experiments were conducted at Tokyo Electron Texas LLC. on a 248nm KrF stepper, NA 0.6, σ 0.3. Both experiments and simulations show that transmission imbalance depends on defocus. When the focal plane is moved towards the lens, the 180° space can be brighter than 0°.The 0° space is more sensitive to defocus and has larger CD variation than 180° does. Finally the simulated patterns are compared with experimental SEM picture.
This paper investigate the resolution and process latitude of printing 90nm node via complementary phase-shift mask process in 193nm ArF lithography. A physical image-in-resist model is presented to simulate the through-does and through-focus variation of light intensity due to double exposure process. The exposure doses are optimized so as to minimize the through-pitch CD variation. The optimal PSM:BIM exposure dose ratio is found to be 68:32. Then the process latitude (PL) at different pitches is calculated under the assumption of perfect lens and no misalignment. The 200nm and over 400nm pitches have enough PL while forbidden pitches, 250-350nm, are not printable. The lens aberrations severely reduce the PL of 200nm pitch but has insignificant effect on isolated features. Misalignment has little impact on CD if pitch is more than 350nm, which is believed to be due to the optimal dose setup. But to maintain the printability of 200nm pitch, misalignment should be less than 10nm if aberrations exist. The pattern placement error is found to be a linear function of misalignment, and the coefficient of this function depends on pitch and is 0.1-0.3. The through-pitch CD variations are also analyzed and OPC is needed to address this issue. Finally the potential solutions to sub-90nm nodes are discussed.
As critical dimensions (CDs) shrink to 130 nm node and below, LER consumes a substantial amount of CD budget and consequently affects the electrical performance of the circuitry adversely. While phase shift processes and trim etch have been broadly implemented into integration processes, to understand the impact of photo and etch processing parameters on LER and furthermore to control LER becomes crucial. In this paper, the line edge roughness (LER) on DUV 300 mm alternating phase shift processing was characterized as a function of illumination conditions and resist processing parameters. The imaging imbalancing was discussed and demonstrated with rigorous mask topography simulation and the effect of phase shifter on the LER was reported. The CD and LER common process window of iso, dense, and semi-isolated line/space as well as the effect of diluted developer concentration on LER were investigated in order to obtain the robust processes with the lowest LER at reasonable throughput. A molecular dynamic simulator of LER generated by using the concept of Monte Carlo simulation was demonstrated and will be used for further simulation down to 65 nm nodes. Finally, the etch transfer of LER in a BEOL short loop film stack of JSR LKD5109 porous low-k and silicon oxy-carbide was reported and the root cause of LER during etch was investigated by partitioning the etch steps.
This paper extends and further validates the methodology for calibrating 193nm chemically amplified resist models and applying the models to line-end shortening simulation in the presence of image imperfections. SPLAT, an imaging simulator, is used to simulate the light intensity at the bottom of resist film and predict the resulted wafer patterns in the presence of lens aberrations. The mask critical dimensions (CD) were measured to exclude the mask CD error effects. The experiments were conducted at Texas Instruments on a 193nm scanner. The mask CD errors proved a major contribution to isolated-dense line CD bias on the wafer. The lens aberrations were shown to be critical to the choice of optimal imaging location and the through-focus CD variation. By finding the optimal image location and threshold photoacid concentration, this model can predict line CD through focus, pitch and feature size, with a RMS error of 5nm. However, this model is not adequate in predicting the narrow space between line ends due to the poor resist response in very low contrast areas. A variable threshold model based on trajectory dissolution rate assumption is proposed to predict the wafer CD in low contrast areas, which resulted in a RMS error of 24nm. Considering the large SEM measurement noise on 193nm resists, this error is reasonable and sufficient for OPC applications.
This paper presents a methodology for modeling the space printability at the gate level in 193nm lithography. Spaces are shown to be more susceptible to process variations and lens aberrations than lines are. Experimental Scanning Electron Microscopy (SEM) pictures show that the scum and bridging effects can occur in spaces although all the line critical dimensions (CDs) are on target. A resist imaging model is used to simulate the line CDs through defocus, pitch and size, and the prediction error is within 5nm. However, this model can not reasonably predict space CDs without using variable threshold, which is explained a proposed trajectory dissolution rate model. Based on the dissolution model, a process rule checker is proposed which inspects the peak light intensity in a space and compares it with a given threshold. This condition is verified experimentally.
The Lithography Analysis using Virtual Access (LAVA) web site at http://cuervo.eecs.berkeley.edu/Volcano/ has been enhanced with new optical and deposition applets, graphical infrastructure and linkage to parallel execution on networks of workstations. More than ten new graphical user interface applets have been designed to support education, illustrate novel concepts from research, and explore usage of parallel machines. These applets have been improved through feedback and classroom use. Over the last year LAVA provided industry and other academic communities 1,300 session and 700 rigorous simulations per month among the SPLAT, SAMPLE2D, SAMPLE3D, TEMPEST, STORM, and BEBS simulators.
This paper presents a methodology for calibrating projection printing imaging/resist models and applying the calibrated models to line-end shortening simulations in the presence of image imperfections. A scheme for extracting monochromatic representations of resist patterns from SEM pictures and comparing them with simulated images is presented. Based on this scheme, a 2-dimensional metric for evaluating the simulation performance is defined and a framework for tuning simulation models is built. The experiments were conducted on a 193nm scanner, with a binary mask whose CD's were measured to eliminate the mask error effects. Comparison of the simulated resist patterns to the SEM micrographs allows evaluation of various levels of physical assumptions on simulation models over the defocus range. Several models were evaluated to quantify the impact of lens aberrations and resist characters on pattern fidelity. Then the effectiveness of these models was further validated by applying the models to simulate small patterns. Aberration effects were found to be very distinctive and a tuned resist modeling was also found to be essential for small features.
Modeling has become indespensable tool for chemically amplified resist (CAR) evaluations. It has been used extensively to study acid diffusion and its effects on resist image formation. Several commercial and academic simulators have been developed for CAR process simulation. For commercial simulators such as PROLITH (Finle Technologies) and Solid-C (Sigma-C), the user is allowed to choose between an empirical model or a concentration dependant diffusion model. The empirical model is faster but not very accurate for 2-dimension resist simulations. In this case there is a trade off between the speed of the simulator and the accuracy of the results. An academic simulator such as STORM (U.C. Berkeley) gives the user a choice of different algorithms including Fast Imaging 2nd order finite difference algorithm and Moving Boundary finite element algorithm. A user interested in simulating the volume shrinkage and polymer stress effects during post exposure bake will need the Moving Boundary algorithm whereas a user interested in the latent image formation without polymer deformations will find the Fast Imaging algorithm more appropriate. The Fast Imaging algorithm is generally faster and requires less computer memory. This choice of algorithm presents a trade off between speed and level of detail in resist profile prediction. This paper surveys the different models and simulator algorithms available in the literature. Contributions in the field of CAR modeling including contributions to characterization of CAR exposure and post exposure bake (PEB) processes for different resist systems. Several numerical algorithms and their performances will also be discussed in this paper.
A three-dimension post-exposure bake (PEB) simulator (STORM3D) is described with improved algorithms for effectively simulating chemically-amplified resists (CAR) on desktop computers. A new FEM algorithm that is based on variable elimination is presented and shown to reduce the simulation time by roughly a factor of four. A dramatic increase in the size of problems that can be treated with limited memory is demonstrated by the use of a frontal method. Results for latent images of the deprotection concentration are presented for T-topping and footing in the presence of pre-diffused contaminants in elbow patterns. A methodology is suggested for estimating diffusion parameters through simulation interpretation of the cross-shape profile from a sequential double exposure of orthogonal lines. The sensitivity of the methodology is illustrated through comparing corner shapes for UVIIHS and APEX-E. The improvements in STORM3D allow 9,000 node 3D problems to be simulated in about one hour for 60s PEB on a 700Mhz Dec-alpha with 256M memory.
This paper presents a simulator, RIAR (Rapid Imaging Analysis for Resists), for fast imaging resist profiles given a post exposure bake (PEB) model. First, the aerial image profile on the surface of the resist is obtained through SPLAT. Then the image profile is converted into the resist pattern after PEB and develop by solving a given 2-dimensional PEB model, which is usually a 2-D partial differential equation (PDE) system. The 2-D PDE system is taken as an image transform and is solved by iteratively approximating the solution with 3- variable polynomial on space and is much faster than the traditional PDE solver. The time complexity of RIAR and STORM are compared with respect to reaction rate, diffusivity, simulation scale, etc. RIAR achieves a speed up of 5 to 7 times STORM, maintaining the precision. In addition, RIAR consumes much less memory and can simulate domains of 9,000 nodes on a DEC Alpha 600 MHz, 256 MB DRAM workstation in a reasonable time. An example of applying RIAR in line-end shortening simulation is also given.
Post exposure bake (PEB) models in the STORM program have been extended to study pattern formation in 193 nm chemically amplified resists. Applications to resists formulated with cycloolefin-maleic anhydride copolymers, cholate based dissolution inhibitor, nonaflate photoacid generator and base quencher are presented. The PEB modeling is based on the chemical and physical mechanisms including the thermally induced deprotection reaction, acid loss due to base neutralization and protected-sites-enhanced acid diffusion. Simplifying assumptions are made to derive analytical expressions for PEB. The model parameters are extracted from the following experiments. UV-visible spectroscopy is used to extract the resist absorbance parameters. The generation of acid is monitored using the method of 'base additions.' The extent of deprotection that occurs during the bake is determined by monitoring the characteristic FTIR absorbance band around 1170 cm-1 over a range of exposure doses and bake temperatures. Diffusion parameters are extracted from line end shortening (LES) measurements. These parameters are optimized using the Method of Feasible Directions algorithm. Application results show good agreement with experimental data for different LES features.
This paper present a methodology for modeling and simulating line-end shortening (LES) effects in deep-UV photoresist and calibrating the simulation with experimental data. A reaction/diffusion mode is first calibrated using large area dose-to-clear versus bake time data and thickness loss from a top-to-top bake experiment. SPLAT and STORM are linked to simulate the exposure and post exposure bake processes of chemically amplified resist. A threshold mode is then applied to determine the line-end shortening effects. Verification experiments were conducted on two resists, APEX-E and UVIIHS, for several types of geometric features, and the resist model parameters were fine tuned using the Method of Feasible Direction. The measurement of LES agrees quite well when the simulation using an exponential diffusion model of post exposure bake is used. The fine tuning reduced the RMS error to below the noise level in the experimental data and improve the accuracy in predicting LES to 10 percent of feature size.
An efficient software tool, STORM, is described for simulating 2D line-edge profiles and line-end shortening in chemically-amplified resist (CARs). The most difficult aspect of modeling CARs is emulating the amplification reaction and reaction state dependent transport. The difficulty arises primarily out of the nonlinearity associated with the behavior of diffusion with reacted materials state. These phenomena have important impacts in horizontal and vertical cross section profiles of post- exposure baked resists. They also impact line-ends which are three dimensional but may be approximated using the two horizontal dimensions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.