The semiconductor industry will soon be putting >=1.07NA 193nm immersion lithography systems into production for
the 45nm device node and in about three years will be putting >=1.30NA systems into production for the 32nm device
node. For these very high NA systems, the maximum angle of light incident on a 4X reticle will reach ~16 degrees and
~20 degrees for the 45nm and 32nm nodes respectively. These angles can no longer be accurately approximated by an
assumption of normal incidence. The optical diffraction and thin film effects of high incident angles on the wafer and
on the photomask have been studied by many different authors. Extensive previous work has also investigated the
impact of high angles upon hard (e.g., F-doped silica) thick (>700μm) pellicles for 157nm lithography, e.g.,.
However, the interaction of these high incident angles with traditional thin (< 1μm) organic pellicles has not been
widely discussed in the literature.
In this paper we analyze the impact of traditional thin organic pellicles in the imaging plane for hyper-NA
immersion lithography at the 45nm and 32nm nodes. The use of existing pellicles with hyper-NA imaging is shown to
have a definite negative impact upon lithographic CD control and optical proximity correction (OPC) model accuracy.
This is due to the traditional method of setting organic pellicle thickness to optimize normally incident light
transmission intensity. Due to thin film interference effects with hyper-NA angles, this traditional pellicle optimization
method will induce a loss of high spatial frequency (i.e., high transmitted angle) intensity which is similar in negative
impact to a strong lens apodization effect. Therefore, using simulation we investigate different pellicle manufacturing
options (e.g., multi-layer pellicle films) and OPC modeling options to reduce the high spatial frequency loss and its
impact.
In this paper the impact of photolithography simulations on the workflow for accomplishing Full Chip DRC verification was investigated. The potential for simulation to reliably replace trial and error was determined. Initially simulations were done for a poly-Si layer, using KLA’s PROLITH v8 tool, to predict printability of Full Chip DRC. The simulation results were then compared to actual printed features. Photo resist parameter calibration was determined to have significant impact on the accuracy of printed feature predictions. The benefits of using simulations in the DRC verification workflow was determined in terms of cycle time and mask set cost reductions.
For some applications, the usefulness of lithography simulation results depends strongly on the matching between experimental conditions and the simulation input parameters. If this matching is optimized and other sources of error are minimized, then the lithography model can be used to explain printed wafer experimental results. Further, simulation can be useful in predicting the results or in choosing the correct set of experiments. In this paper, PROLITH and ProDATA AutoTune were used to systematically vary simulation input parameters to match measured results on printed wafers used in a 193 nm process. The validity of the simulation parameters was then checked using 3D simulation compared to 2D top-down SEM images. The quality of matching was evaluated using the 1D metrics of average gate CD and Line End Shortening (LES). To ensure the most accurate simulation, a new approach was taken to create a compound mask from GDSII contextual information surrounding an accurate SEM image of the reticle region of interest. Corrections were made to account for all metrology offsets.
A variety of techniques to characterize the lithographic quality of top-down two-dimensional patterns are described. Beginning with a top-down SEM micrograph, image processing and feature edge detection are used to extract a polygon representation of the printed pattern. Analysis on the polygon yields metrics such as corner rounding radius, feature area, and line edge roughness. Comparison of two shapes (for example, actual compared to desired, mask compared to wafer, or before etch compared to after etch) produces metrics such as overlapping area and the critical shape difference. Numerous examples of the utility of this approach will be given for SEM images of masks and wafers. The result is a set of numeric metrics of two-dimensional pattern fidelity applicable to lithographic evaluation, improvement and control.
One-dimensional linewidth alone is an inadequate metric for low-k1 lithography. Critical Dimension metrology and analysis have historically focused on 1-dimensional effects but with low-k1 lithography is has increasingly been found that the process window for acceptable imaging of the full 2D structure is more limited than the process window for CDs alone. The shape and area of the feature have become as critical to the proper patterning as the width. The measurement and analysis of Critical Shape Difference (CSD) of patterned features must be an integral part of process development efforts. Adoption of optical proximity correction (OPC) and other Optical Extension Technologies increases the need for understanding specific effects through the pattern transfer process. Sub-resolution features on the mask are intended to compensate the pattern so that the resulting etched features most accurately reflect the designer's intent and provide the optimum device performance. A method for quantifying the Critical Shape Difference between the designer's intent, OPC application, mask preparation, resist exposure and pattern etch has been developed. This work focuses on overlaying features from the various process stages and using CSD to quantify the regions of overlap in order to assess OPC performance. Specific examples will demonstrate the gap in current 1-D analysis techniques.
2D optical proximity correction is a requirement for feature patterning at 0.18 micrometers and below lithography process nodes. These corrections to semiconductor designs are intended to address the non-linearities of pattern transfer between mask making, lithography, and etch. Traditionally, IC patterns from design through etch have been characterized using critical dimension (CD) measurements. Semiconductor devices, however, are not simply made up of one-dimensional structures such as long lines and spaces. In many cases CD measurements alone are insufficient metrics of imaging performance. The fidelity of two-dimensional printed features is as important as the CD. This paper will examine the pattern fidelity of arbitrarily shaped two-dimensional patterns. Metrics such as pattern area, corner rounding, line end shorting, and the critical shape difference will be used as characterize the process. Both experimental and simulated data will be used to explore the importance of two-dimensional critical shape verses two-dimensional area on feature transfer.
Modifications have been made to the ALTAR 3500 system to improve critical dimension (CD) control in three ways. First, the mean-to-target performance has been improved by increasing the repeatability of the measurement of optical efficiency, thereby more precisely setting the dose delivered to the photoresist. Second, the compressed dry air (CDA) used by the focus subsystem has been replaced with pressurized air drawn from the print head of the writing system. By using the humidity-controlled air from the print head, the water content of the photoresist is not affected by the focus subsystem. As a result, variability in CD uniformity that is dependent on some aspects of the pattern size and density are eliminated. Other pattern-dependent CD uniformity issues arising from process effects are also addressed. Finally, an option to allow the system to print with eight rather than four averaging passes has been evaluated. With an increased averaging of errors, improvement is seen in several performance parameters, particularly stripe butting, CD uniformity, and composite overlay.
KEYWORDS: Picture Archiving and Communication System, Photomasks, Absorption, Lithography, Absorbance, Transparency, Deep ultraviolet, Photoresist processing, Photoresist developing, Mask making
I-line optical pattern generators using non-chemically amplified resists have become the workhorses for high throughput mask fabrication. The demand for smaller and more uniform features on photomasks has driven the development of a 257 nm optical pattern generator. A non-chemically amplified resist is being developed to maximize the performance of this new 257 nm mask tool. Resist characterization and lithography simulation are being used to formulate a non-chemically amplified resist for 257 nm optical pattern generators. Non- chemically amplified resists are advantageous for us in mask fabrication due to their storage and post-exposure stability. Chemically amplified resists may provide higher performance but they also require environmental mini-environments and a post-exposure bake equipment not commonly present in mask houses. Diazonaphthoquinone (DNQ)/novolak resists have not been used for DUV Integrated Circuit (IC) applications mainly due to the low sensitivity and the strong absorbance of the DNQ photoactive compound (PAC) at 248 nm. However, a 2,1,4 DNQ based resist has been characterized that bleaches at 257 nm and inhibits novolak. The photoproduct of the 2,1,4 DNQ PAC is much more transparent at 257 nm than 248 nm. Novolak resin is too strongly absorbing for use in formulating efficient 248 nm resists, but novolak has an absorbance minimum at 257 nm that provides transparency similar to poly (hydroxystyrene). Lithography simulation is being used to develop a non- chemically amplified resist to minimize the expensive iteration of manufacturing trials. An exposure system using a 257 nm frequency double Ar laser system has been constructed to study the resist photokinetics. Dill exposure parameters (A, B and C) have been extracted for a 2,1,4 DNQ/novolak based resist. Dissolution rate measurements have been made with a DRM developed at the University of Texas at Austin. Simulation is used to determine the optimal resist absorption, bleaching, dose and dissolution properties to maximize resolution. It is possible to formulate a high performance resist for 257 nm if care is taken in optimization of the formulation.
The demand for smaller and more uniform features on photomasks is rapidly increasing. The complexity of these patterns is also increasing with the need for optical proximity correction and phase shifting structures. These complex mask features demand unprecedented accuracy in pattern placement and dimensional control. We have conducted research designed to optimize the process for laser pattern generation by improving resolution and process latitude. Lithographic simulation was utilized for process optimization because of the very high cost of mask patterning and metrology experiments.
The ALTA 3500, an advanced scanned-laser mask lithography tool produced by Etec, was introduced to the marketplace in 1997. The system architecture was described and an initial performance evaluation was presented. This system, based on the ALTA 3000 system, uses a new 33.3X, 0.8 NA final reduction lens to reduce the spot size to 0.27 micrometers FWHM, thereby affording improved resolution and pattern acuity on the mask. An anisotropic chrome etch process was developed and introduced along with a TOK iP3600 resist to take advantage of the improved resolution. In this paper we will more extensively describe the performance of the ALTA 3500 scanned laser system and the performance of these new processes. In addition, an investigation of the benefits of operating in the optimal isofocal print region is examined and compared to printing at the nominal process conditions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.