Immersion technology has successfully extends the application of ArF lithography in the semiconductor. However, as we further push the k1 factor below 0.3, the patterning fidelities degrade significantly. In this paper, a novel method to quantify the mask fidelity of complex 2D patterns is proposed. With this method, the critical dimension (CD) error of both edge placement error (EPE) and corner rounding can be well described by using 2 indices "bias" and "blur" respectively. The "bias" is defined as the CD offset between the mask and the targets, and the "blur" is a derived term that can well represent the mask rounding. These 2 indices are not only able to describe the mask quality but also able to link with model parameters that are used in optical proximity correction (OPC) and some other applications. In this paper, we demonstrate the methodology and quantify the actual mask quality on the complex and critical 2D patterning in the advanced nodes.
As feature size get smaller, it's crucial to gain depth of focus (DOF) common window in optical lithography. In addition to the DOF of individual patterns, the shift of the best focus between various patterns is significant reducing the common DOF. High-order spatial frequencies diffracted from sharp corners and small patterns on the mask induce additional phase terms and can shift the best focus significantly. We analyzed the correlation between the pattern shape after OPC correction and its corresponding DOF, and found that more complicated shapes lead to more focus shift. Wafer experiment and simulation confirm the predictions. This provides another index for future OPC application.
It is believed that smaller correction segments could achieve better pattern fidelity, however, some unstable OPC results
which are beyond the capability of common OPC correction schemes were found once the segment length is less than a
certain threshold. The dilemma between offering more degree-of-freedom by decreasing the correction segment length at
the cost of longer correction time and the instability induced by the reduced segment length challenges every OPC
engineer.
In this paper, 2 indices are introduced; the segmentation index is proposed to determine a reasonable minimum segment
length while the stability index can be used to examine whether the correction system is a stiff convergence problem. A
compromised correction algorithm is also proposed to consider the OPC accuracy, stability and runtime simultaneously.
The correction results and the runtime are analyzed.
As the patterning of IC manufacturing shrinks to the 32-nm node and beyond, high-NA and immersion lithography are
required for pushing resolution to its physical limit. To achieve good OPC performance, various physical effects such as
polarization, mask topography, and mask pellicle have to be considered to improve the model accuracy.
The attenuation and the phase variation of TE and TM wave components induced by the pellicle would impact optical
qualities in terms of resolution, distortion, defocus shift, and high-order aberrations. In this paper, the OPC model
considering pellicle effects is investigated with Jones pupil. The CD variation induced by the pellicle effect can be
predicted accurately. Therefore, the improvement on model accuracy for 32-nm node is demonstrated.
Optical proximity correction is the technique of pre-distorting mask layouts so that the printed patterns are as close to the desired shapes as possible. For model-based optical proximity correction, a lithographic model to predict the edge position (contour) of patterns on the wafer after lithographic processing is needed. Generally, segmentation of edges is performed prior to the correction. Pattern edges are dissected into several small segments with corresponding target points. During the correction, the edges are moved back and forth from the initial drawn position, assisted by the lithographic model, to finally settle on the proper positions. When the correction converges, the intensity predicted by the model in every target points hits the model-specific threshold value. Several iterations are required to achieve the convergence and the computation time increases with the increase of the required iterations. An artificial neural network is an information-processing paradigm inspired by biological nervous systems, such as how the brain processes information. It is composed of a large number of highly interconnected processing elements (neurons) working in unison to solve specific problems. A neural network can be a powerful data-modeling tool that is able to capture and represent complex input/output relationships. The network can accurately predict the behavior of a system via the learning procedure. A radial basis function network, a variant of artificial neural network, is an efficient function approximator. In this paper, a radial basis function network was used to build a mapping from the segment characteristics to the edge shift from the drawn position. This network can provide a good initial guess for each segment that OPC has carried out. The good initial guess reduces the required iterations. Consequently, cycle time can be shortened effectively. The optimization of the radial basis function network for this system was practiced by genetic algorithm, which is an artificially intelligent optimization method with a high probability to obtain global optimization. From preliminary results, the required iterations were reduced from 5 to 2 for a simple dumbbell-shape layout.
Optical proximity correction (OPC) is usually used to pre-distort mask layouts to make the printed patterns as close to the desired shapes as possible. For model-based OPC, a lithographic model to predict critical dimensions after lithographic processing is needed. The model is usually obtained via a regression of parameters based on experimental data containing optical proximity effects. When the parameters involve a mix of the continuous (optical and resist models) and the discrete (kernel numbers) sets, the traditional numerical optimization method may have difficulty handling model fitting. In this study, an artificial-intelligent optimization method was used to regress the parameters of the lithographic models for OPC. The implemented phenomenological models were constant-threshold models that combine diffused aerial image models with loading effects. Optical kernels decomposed from Hopkin’s equation were used to calculate aerial images on the wafer. Similarly, the numbers of optical kernels were treated as regression parameters. This way, good regression results were obtained with different sets of optical proximity effect data.
CD-SEM and scatterometry are two of the top candidates for CD metrology in 90 nm node. In this study, Optical Digital Profilometry (ODP) based scatterometry was used to evaluate four topics: CD SEM and ODP process resolution comparison, ODP duty ratio limitation study, Poly AEI undercut sensitivity, STI ODP to TEM profile and trench depth matching. The scatterometry results were compared to CD-SEM and TEM results to develop the correlation of different metrology techniques. Scatterometry is able to provide robust uniformity measurement with additional information compared to CD-SEM. The additional information included sidewall angle, photoresist thickness, A°RC layer thickness, and under-layer film thickness. Actual data showed that this extra information was essential to trouble shoot the CD uniformity issue, separate the scanner, track, and thin film deposition impact on final CD uniformity. Scatterometry can be used not only as a metrology tool to measure CD uniformity, but also a useful analytical tool to find out the cause of CD non-uniformity. In small FEM study, scatterometry demonstrated its high resolution and precision. It can clearly identify the CD shift of less than 0.5 nm with exposure energy shift of 0.1mJ. This high resolution enabled a clearer definition of process window, and monitoring of small process shift in the actual production. From the experimental results, current optical tool with ODP technology was well qualified for duty ratio > 30 iso line measurement, detecting Poly undercut, STI profile and depth TEM matching.
In this paper, logic device patterning of 0.16-micrometer trenches for the 0.13-micrometer node using 248-nm light and 0.13-micrometer trenches for the 0.10-micrometer node using 193-nm light is investigated. Severe proximity effect through all pitches and small depth of focus for isolated trenches bring great challenges. To produce manufacture-worthy process windows, lithographic techniques such as optical proximity correction, annular illumination, sub-resolution assist features, and attenuated phase-shift mask are considered. No prominent performance gain is achieved in the aforementioned combination if full-pitch-range performance is required. However, manufacture-worthy 0.5-micrometer depth of focus can be obtained through all pitches by replacing annular illumination with quadrupole illumination while retaining sub- resolution assist features and optical proximity correction, even without having to resort to attenuated phase-shifting mask. We also observe that attenuated phase-shift mask or dipole illumination improves depth of focus and photoresist profile of dense patterns only in the cases studied.
We report here a lithography process for 0.13 micrometers lines using a high NA 248 nm scanner and attenuated phase-shifting masks (AttPSM) employing optical proximity correction with optimized assisting features. Our current result indicate a common depth of focus of 0.5 micrometers and exposure latitude of 10 percent for lines with line/space ratios from 1:1.2 to isolated. The mask error factor with assisting feature ranges from 1.2 to 1.5 depending on the duty ratio. The line edge roughness is less than 8 nm for our current KrF resist with AttPSM. We also explore the feasibility of strong and weak quadrupole illumination for process enhancement. The results show promising potential for proximity reduction and process window enhancement. Considering practical implementation in foundry fabs, we decided to concentrate our efforts on AttPSM with annular illumination plus OPC with assisting features. Our investigation indicates that 248 nm AttPSM technology is very attractive for 0.13 micrometers device fabrication.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.