We are evaluating the resolution capability of character projection (CP) exposure method using a Multi Colum Cell
Proof of Concept (MCC-POC) tool. Resolving of 14nm half pitch (HP) 1:1 line and space (LS) patterns are confirmed
with fine openings of a DNP fabricated CP mask for 10:1
de-magnification ratio. CP exposure has been proven to exhibit
high resolution capabilities even under the most challenging optimization conditions that are required for throughput
enhancement. As a result of evaluating the resolution capability of CP technology, it became apparent that the CP
technology has strong potentials to meet future challenges in two areas. One is where an increased number of CP with
variable illumination technology gives a higher throughput which has been the main objective behind the development of
this technology, and the other is to achieve higher resolution capability that is one of the strengths of CP exposure
method. We also evaluated the resolution on Quartz mask blanks instead of Si wafers and obtained 18nm HP 1:1
resolution with CP exposure.
Nanoimprint lithography is a candidate for lithography for the hp32nm and hp22nm nodes. Molds or templates
for it are being developed on the basis of the process of making phase-shift photomasks. The combination of a 50
kV-VSB (variable shaped beam) EB writer and a chemically amplified resist (CAR) does not have a resolution sufficient
for 1X patterning. On the other hand, a combination of a 100 kV-SB (spot beam) EB writer and a non-CAR satisfies the
resolution requirement, but this combination leads to an extremely low throughput due to low resist sensitivity.
To increase the throughput, we have examined double patterning and double exposure with hybrid use of two
different types of writers, a 50 kV-VSB writer, JBX-9000MV, for delineating fine features and a 100 kV-SB writer,
JBX-9300FS, for delineating rough features. Overlay accuracy is a key item in such hybrid writing. The results of an
overlay accuracy evaluation together with a throughput improvement will be reported in this paper. An estimation of the
time for writing a gate layer has given a good example; the writing time for hybrid writing is reduced to about half of the
time for 100kV-SB writing. The overlay accuracy for double patterning is found to be 20nm (3σ). However, we are
confident that we will be able obtain an overlay accuracy of 10nm (3σ) by improving the image placement accuracy of
the JBX-9300FS. An example of double exposure is also shown.
Three stencil masks with simple die layouts on 24 mm x 24 mm Si membranes are made to compare simulation and experiment on image placement (IP). A pseudo finite element (FE) modeling is adopted. Displacements predicted by simulation are found to be smaller than experimental values, but both agree qualitatively. Four stencil masks with die layouts that model on ULSI hole layers in 30% opening ratio and pattern arrangement are successfully made. Displacements are reduced to 1/4 by adopting IP correction. The IP correction of EB data is found to be a useful method of reducing IP error.
We have fabricated seven masks with different patterns on a 27 mm x 34 mm single-membrane for Low Energy Electron-beam Proximity Lithography (LEEPL) by the wafer-flow process. We have examined the membrane flatness and image placement (IP) accuracy, which are essential qualities to be assured. We summarize the results as follows: Masks with membranes of 13 MP and 20MPa stress satisfy the membrane flatness requirement of less than 2 μm while a mask with a 6 MPa membrane does not. Maps of the distortion induced by the wafer-flow process are obtained for the masks with 13 MPa and 20 MPa membranes and their performance is explained in terms of the contraction of the mask substrate. The out-of-plane distortion for a 3 mm x 3 mm block of dense hole patterns with an opening ratio, ranging from 10% to 40%, has been evaluated. The distortion induced by the block has been evaluated and the effect of the local magnification correction on the IP error is examined. Maps of the distortion induced by the wafer-flow process and 4 x 4 blocks of 10% and 20% opening are obtained for a mask with 13 MPa membrane and the distortion induced by the blocks is estimated in 3σ. The uncorrectable IP error for the mask with the blocks of 10% opening is estimated to be 10 nm (in 3σ), which satisfies the specification for LEEPL masks.
As we move technology further and further down the geometry scale we are coming upon imaging situations where our use of existing optical lithography is being questioned due to the lack of process margin in manufacturing lines. This is especially apparent in the imaging of contacts where memory devices, that generally have the densest arrays of these features, may no longer be able to print the desired features. To overcome this it is necessary to either modify the design, a very expensive and time consuming process, or find an imaging process capable of printing the desired features. Electron Projection Lithography (EPL) provides an option to print very small features with a large process margin.
In this paper we detail the performance of both memory and logic based designs in an EPL process. We detail the manufacture and results of stencil mask manufacture. Data is also presented showing the imaging results (DOF, exposure latitude, pattern transfer) of features down to 50nm imaged on Nikon’s EB1A tool.
EB lithography has a potential to successfully form hole patterns as small as 80 nm with a stencil mask. In a previous paper we proposed a technique using a HOLON dual-mode critical dimension (CD) SEM ESPA-75S in the transmission mode for CD measurement of line-and-space patterns on a stencil mask. In this paper we extend our effort of developing a CD measurement technique to contact hole features and determine it in comparison of measured values between features on mask and those printed on wafer. We have evaluated the width method and the area methods using designed 80-500 nm wide contact hole patterns on a large area membrane mask and their resist images on wafer printed by a LEEPL3000. We find that 1) the width method and the area methods show an excellent mask-wafer correlation for holes over 110 nm, and 2) the area methods show a better mask-wafer correlation than the width method does for holes below 110 nm. We conclude that the area calculated from the transmission SEM image is more suitable in defining the hole dimensions than the width for contact holes on a stencil mask.
KEYWORDS: Photomasks, Distortion, Semiconducting wafers, Data corrections, Image analysis, Data processing, Electron beams, Electron beam lithography, Projection lithography, Metrology
200-mm stencil masks for electron beam projection lithography (EPL) have been developed. Since they are not so rigid as photomasks because of their structure, 200-mm wafers with about 8,000 membrane windows, new metrological techniques dedicated to stencil masks have be introduced. Image placement (IP) accuracy of an EPL mask is evaluated with a suspension-type electrostatic chuck introduced to a Leica LMS IPRO. The dynamic repeatability of global IP measurements was 27 nm (3σ). It was confirmed that global IP errors were reduced to 60 nm (3σ, max) by linear-term and gravity corrections.
Masks for low energy electron proximity projection lithography (LEEPL) are fabricated starting with 200 mm silicon-on-insulator (SOI) wafers. The effect of the thickness of the buried oxide (BOX) layer of an SOI wafer on its flatness has been investigated. The wafer flatness is found to decrease as the BOX layer becomes thin. When the SOI layer (Si membrane) is not doped by B or P, the membrane has a compressive stress even for a 0.2 μm thick BOX layer. A monitor mask with image placement (IP) marks on a single-large (24 mm square) membrane area has been fabricated, starting with an SOI wafer with an 1.1 μm thick stress-controlled SOI layer and a 0.2 μm thick BOX layer. The internal stress of the membrane was 19 +/- 6 MPa (3σ) (tensile), and the membrane flatness was 0.8 μm. An ES chuck for an LSM-IPRO, which holds a mask in the method compatible with that in LEEPL exposure tools, has been installed. Chucking reduced the mask flatness from 22 μm to 10 μm while the membrane flatness was kept less than 1.0 µm. The dynamic repeatability of IP measurement was 7.6 nm (x) and 4.8 nm (y) in 3σ. The IP error of the monitor mask that had only IP marks was 17 nm (x) and 17 nm (y) in 3σ, satisfying the specification of 30 nm or less.
Electron beam projection lithography (EPL) has been developed for application to 65 nm node devices and beyond. 200-mm EPL masks have also been developed keeping pace with the exposure tool. Image placement (IP) accuracy is a necessary quality assurance item to bring masks into production. A suspension type electrostatic chuck designed for EPL mask measurement for an IP metrology tool Leica LMS IPRO was prepared for measurement of local IP errors, defined for each subfiled. The chuck holds the mask on its membrane-side surface right side up. Three 200-mm stencil masks with tensile membrane stresses of 8, 18, and 43 MPa were fabricated. The IP error is found to increase as the stress increases. Marks in the area of a high pattern density with a void fraction of 0.2 moved toward the area of a low pattern density with a void fraction of 0.016. The IP errors did not strongly depend on the kinds of dummy patterns (either hole or L&S) having the same void fraction of 0.25 and macroscopic uniformity. If the stress is less than 10 MPa, the IP error (3 sigma) is less than 10 nm, satisfying the EPL mask requirement. Local CD accuracy was also evaluated for a mask with a membrane stress of 8 MPa.
200-mm electron-beam projection lithography (EPL) masks were fabricated starting from stress-controlled silicon-on-insulator (SOI) substrates. The internal stress of the SOI layer is controlled to be ca. 10 MPa by B doping. The blank fabrication process has been established by the Bosch deep trench etch process. EB patterning was done on a JEOL JBX9000MVII with a positive-tone chemically amplified resist of 400-nm thickness. Resist image of 200-nm wide lines-and-spaces pattern was transferred to 2-um thick SOI layer by a shallow trench etching. A dual-mode critical dimension (CD)-SEM was implemented, and used for mask characterization. Preliminary results on uniformity of CD-shift in the dry etching and final CD were reported. 200-mm EPL masks with a gate layer of a system-on-chip device pattern were fabricated.
Scanning-electron microscopes designed for critical dimension (CD) measurement (CD-SEMs), which operate in a reflection mode, are commonly used in photomask quality assurance. However, such CD-SEMs are not always suitable for CD measurement of electron beam (EB) stencil masks such as electron-beam projection lithography (EPL) masks and low-energy electron-beam proximity projection lithography (LEEPL) masks. A dual-mode CD-SEM, which can operate in a transmission mode besides in a reflection mode, was recently developed by HOLON. The performance of the tool will be reported. Two EPL test masks with a 2-μm-thick Si scatterer and one LEEPL test mask with about 1-μm-thick absorber were prepared. The EPL masks have 1:1 lines-and-spaces (L&S) patterns and isolated spaces, all varying from 200 to 1000 nm in designed size. The LEEPL mask has 1:1 L&S patterns ranging from 80 to 550 nm. The masks were observed at an acceleration voltage of 5.5 kV with no bias voltage and a current of 10 pA. The dual-mode CD-SEM is found to have the following characters: (1) short-term repeatability and long-term repeatability less than 2 nm in both modes, (2) compatibility with a photomask CD-SEM in the reflection mode, (3) coincidence of the CDs measured in the transmission mode from the front side and back side within 3.0 nm for stencil patterns with a sidewall angle larger than 89.8 deg, and (4) capability of measurement at least down to 80 nm. Therefore we conclude that the dual-mode CD-SEM is applicable for measurement of CDs of EB stencil masks.
KEYWORDS: Critical dimension metrology, Photomasks, Mask making, Projection lithography, Silicon, Data conversion, Electron beams, Electron beam lithography, Control systems, Lithography
In EPL, one of the issues is how to reduce the critical dimension (CD) error observed at the boundary of two complementary patterns when being stitched together to form one smooth line. This paper is concerned about edge deformation of the two lines to reduce the CD error. Among several forms, a pair of concave- and convex-three-up-step edges was formed for 580-nm-wide lines with 75-nm-wide steps. Our choices of the shapes of deformed edges are presented based on the degree of difficulties of making fiber features on mask. The first choice is a pair of concave- and convex-one-step edges. Two EPL dat conversion systems, SX-GIGA/EPLON of Seiko Instruments Inc. and PATACON-6600 of Nippon Control System Corp., are introduced. They are found to automatically yield required stitching correction patterns. Their flexibility in stitching correction enough to cope with customers' requests makes them useful and practical.
An alternating phase shift mask (Alt-PSM) enhances resolution and focus margin in wafer print. Therefore it comes into increasing use for device fabrication by KrF and ArF lithography. We have started production of alt-PSMs using quartz etched shifter and single trench structure, which are made by a two-step quartz etching process. This two-step quartz etching process has three writing steps; they are for chrome etching, quartz dry etching and quartz wet etching. We improved alt-PSM production process by using new backside phase measurement method. Consequently phase mean deviation from 180 degree was improved from +/- 2.0degree to +/- 1.5degree. And process steps reduced from 16 to 12 steps because twice alignment writing became once.
A 17% transmittance embedded attenuated phase shift mask (ESPM) has been prepared and evaluated in terms of its optical printability of 180 nm to 150 nm hole features at KrF excimer laser light. A 6% transmission ESPM has also been evaluated for comparison. Contact hole features on test reticles were written by a laser writer with the laser proximity correction (LPC). The following effects of the LPC were found: (1) The mask CD is controlled within plus or minus 20 nm from the target for both dense and isolated features. (2) The CD was shifted over -20 nm for isolated eatures. (3) An improvement in CD linearity was observed where the CD error was kept within 10 to 70 nm even when the feature size and pitch were varied. A similarity in the results by Aerial Image Measurement Software (AIMS) and the optical simulator was found especially for isolated features, and this allows us to use the optical simulator instead of AIMS for evaluating the optical properties of EPSMs in some cases. By the Log-slopes of the optical intensity profiles of 180 nm contact hole features, we found that the high transmission EPSM has better Log-slope especially for isolated features. This means that the manufacturability of 180 nm contact holes will be achieved by using the high transmission EPSM. By similar evaluation we found that good manufacturability of 150 nm contact holes will be more difficult to achieve even by using the high transmission EPSM. The variation of CD-focus curves was analyzed for isolated contact hole features using AIMS data. The high transmission EPSM improves the exposure latitude for 180 nm features even with only 20 nm 4x resizing and also for 150 nm features but cannot improve the focus latitude. The Mask Error Factor (MEF) is evaluated by using the optical simulation. It is found to range in 1.1 - 1.5.
An alternating phase shift masks can improve resolution and lithographic latitude. However, Alt-PSMs have not yet become practical because of difficulty in their tight phase and defect control. In this paper, we focused on how to control both phase uniformity and phase mean value of etched quartz shifters. We found that a material of a dry-etching tale (cover plate of work electrode) was strongly affected for phase uniformity. By choosing an adequate material, phase uniformity of 1.9% could be achieved. Micro-loading effect and loading effect degrade phase controllability. Loading effect was not observed in our etching conditions. But micro-loading effect was observed. Back exposure process was useful to prevent micro-loading effect. To improve mean value controllability, 2 step etching process was adopted. By using this method, mean value cold be controlled within plus or minus 2 degrees.
The performance of an i-/g-line direct-phase measurement system Lasertec MPM- 100 has been evaluated. The minimum measurable pattern sizes is 2.5 .μm for holes on an 8%-i-line transmittance halftone phase shift masks (HPSMs). The effect of the focus position is not significant for hole pattern of above 3.5 μm. Both short-term repeatability and long-term stability are excellent, being less than 0.5 deg. The effect of the illumination NA has been investigated theoretically and experimentally, and the use of correction factors based on experiment is proposed for estimating effective phase shifts from phase shifts obtained by MPM- 100.
CD measurement tools to reticles for 0.25 micrometers devices are evaluated in light of the follow requirements: (1) linearity limit (or reliably measurable minimum feature size): 0.5 micrometers , (2) repeatability (3(sigma) ): less than or equal to 6 nm, (3) applicability to attenuated (or half tone) masks, and (4) automeasurement capability assisted with pattern recognition. The evaluated tools are (1) an optical CD measurement system Nikon MPA3 at g-line or e-line light, (2) a laser confocal microscope OAI SiSCANII7325 at 325 nm, and (3) a confocal- /transmission-type microscope Technical Instruments Co. KMS300T in a confocal or transmission mode with board-band illumination. The samples evaluated are (1) a low-reflective binary mask, (2) a HT mask for g-line exposure with a transmittance of 8%, and (3) a HT mask for i-line exposure with a transmittance of 8%, all having space patterns the width of which varies from 0.45 to 4.0 micrometers . In conclusion, the requirements are met by the confocal microscopes (SiSCANII7325 and KMS300T in a confocal mode).
Lasertec has developed a novel phase-shift measurement system 1PM11, which uses a differential heterodyne interferometer. In 1PM11, phase information is converted into a low- frequency heterodyne beat that is easily measurable by an electric current. Two-frequency laser beams which are oscillated from a He-Ne laser (632.8 nm) tube are used. When a 40X objective lens being used, the beam is 2.3 micrometers in diameter and the distance of the two beams is adjustable from 3.0 to 6.0 micrometers . The performance of 1PM11 for three types of phase-shift masks: (1) the shifter of etched quartz, (2) spin-on-glass shifter on etch- stop/quartz, and (3) the attenuating shifter, is reported.
Two lithographic processes for phase-shift mask (PSM) manufacturing have been investigated. In particular, processes in E-beam (electron beam) lithography by use of a charge-dissipating layer of a conductive polymer are studied. Two commercial conductive polymers, TQV and ESPACER100, are found to work well for charge-dissipation. Three new resists along with CMS and EBR9 are evaluated regarding their properties necessary for patterning a shifter layer. Among them two new resists are demonstrated to be excellent. The effect of the number of data-blocks on the alignment accuracy is examined in delineation with a Hitachi HL-600, where each data-block has four fine-alignment marks. The examination suggests that the use of one or two data-blocks is practical. As to combination of writers for the Cr level and the shifter level, HL-600 - HL-600 gave better alignment accuracy than the other combinations, WW6000 - HL-600 and MEBES III - HL-600, did. The comparison between the E-beam and the laser writers is summarized.
Two lithographic processes for phase-shift mask manufacturing have been investigated in which the pattern of a Cr layer and the pattern of a phase-shifter layer of a spin-on- glass (SOG) are delineated by a laser writer or an E-beam writer. Masks both of a shifter-on-chrome stmcture and of a chrome-on-shifter structur were fabricated. Five different processes were chosen by combination of the writers and the structures. The accuracy of alignment between the Cr layer and the SOG layer was measured for the mask fabricated by each of them. An alignment accuracy of less than 70nm was obtained when an Etec WW6000 laser writer was used with proper offset-correction. The side wall angle of the SOG pattern was 85±5° in laser lithography and 80° in EB lithography. For EB lithography an additional conductive layer either under the shifter layer or on the resist layer is indispensable to avoid the charging-up effect. Among the three transparent conductive materials evaluated, Indium Tin Oxide, Sn02, and DNP’s proprietary, only Sn02 showed acceptable practical properties, necessary also as an etch- stop, including sufficient durabilities against both sulfuric acid and dry-etch plasma. The optical transmittance of its 10 nm thick film on a quartz substrate at i-line wavelength is acceptable (88 %) although that at KrF excimer-laser wavelength is poor (64 %). The two commercial conductive polymers, TQV and ESPACER 100, were found to work well. Advantages and disadvantages of the two processes are discussed
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.