Silicon-containing material has recently attracted attention as new hard mask material. We have studied the applicability
of MFHM (Multi-Functional Hard Mask)/SOC (Spin on Carbon) materials as an alternative to the BARC/SiON/
amorphous carbon (a-C) process. This process is very useful in terms of cost reduction and process simplicity compared
to a-C process. Evaluation results have showed good lithographic and etch performances. However, this MFHM process
has showed specific defects related to material. This paper will focus on defect type and suggest its solution.
ArF lithography has been driven into sub-100 nm dimensions using high numerical apertures, phase-shift mask,
modified illumination, and optical proximity correction. As feature size continues to shrink, photoresist thickness as an
imaging layer has been decreased for the improvement of lithographic process window and pattern collapse margin.
Moreover, ArF photoresist has the inherent demerit of poor etch resistance in comparison with KrF photoresist and we
have to use inorganic hard mask materials such as silicon-nitride, -oxide, poly-silicon, and silicon oxynitride as a
pattern transfer layer. The cost-of-ownership (COO) of CVD process related to the application of inorganic hard mask
is much more expensive than that of spin-on process. Therefore, several processes including bi-layer resist process
(BLR), and tri-layer resist process (TLR)1 have been investigated. This paper will focus on TLR process consisted of
multi-function hard mask (MFHM) material and spin on carbon (SOC) material.
Immersion materials have to overcome immersion-issues for successful wet process introduction to semiconductor
mass production. Component-leaching issue is one of the most influential wet process huddles, which is related to
immersion-liquid and projection lens contamination as well as resist patterning performances. In this paper, we will
introduce our experimental results of leaching blocking effects resulted from the modification of polymer and additive
structures and from the application of top surface blocking layers. PAG-leaching level of resist film formed of low Tg
resin shows the highest meanwhile that of high Tg resin is the smallest leaching value. The interaction forces between
additives and resin platforms are the most important to prevent additives leaching to immersion liquid.
We have tested 3 different types of resin structures to modify the interaction forces between resin platform and resist
components especially PAG molecules and photo-generated acid molecules. We changed 2-hydroxyethyl
methacrylate(2-HEMA) contents to be 5, 10, 15% in our base resin, COMA-acrylate hybrid system to modify the
hydrophilicity of resist platforms. By mimicking immersion process to obtain wet-performance of their resists we have
obtained relative value of component-leaching. Interaction-force between resist platform and PAG was seemed to be
largest when resist component-leaching is least so that the pattern profiles become to be vertical. It was appeared that
the 5% 2-HEMA containing resin and TPS-Nonaflate PAG system showed the best performance because of its low
leaching resulted from their strong interaction forces.
Another polymer parameter to determine the component-diffusivity is glass transition temperature, Tg. Low Tg
means high mobility of resin by small thermal energy due to high free volume contents inside of the resist film which
can act as diffusion pathways of resist components. 10% MA resin system shows the lowest Tg, around 140 degrees C and the
most serious T-topping profiles.
Defectivity issue becomes more important in immersion process, which is most related to the partial leaching by
residual water and resulted in watermark defects. We evaluated the relative easiness of watermark formation on
different resist films by correlations between static and dynamic contact angles. We made 2 different resist films
composed of 2 different water-repellent functional group monomers. Static contact angles of developer as well as DI
water were measured. It was found indirectly that amphiphilicity of resist film surface makes positive effect on
watermarks formation, that is, more hydrophobic and more developer-philic resist film remains less residual water
droplets.
Post exposure bake temperature sensitivity (PEB sensitivity) becomes important as the pattern pitch size shrinks gradually. There are several factors affecting the PEB sensitivity including acidity and diffusion of photogenerated acid, activation energy for deprotection reaction, free volume of base polymer, and so on. Our works were conducted as a part of the basic study for searching influential parameter of PEB sensitivity. We found that PEB sensitivity relies largely on not only acid diffusion parameter, but also the hydrophilicity of base polymer and protection group ratio. Also, we observed that bulkiness of deprotection group has great influence on PEB sensitivity. Detailed results will be reported in this paper.
Powder formation of ArF photoresist in nozzle tip of track is very serious problem because particles of photoresist drop on wafer during process. To cope with this issue, we have studied several factors affecting powder formation in ArF photoresist processing such as polymer type, solvent for photoresist, thinner for solvent bath, and the structure of solvent bath. This report shows solutions for this issue. Chemical structure of polymer in ArF photoresist is the origin of powder formation but solvents for photoresist and thinner for solvent bath have an important role for improvement. Above all, the modification of solvent bath structure is most effective to remove this issue.
More simple and cost-effective shrinkage techniques for contact hole (C/H) are required instead of conventional technologies such as thermal flow, RELACS, SAFIER and CONPEAT with the aggressive reduction in size of devices. We have developed a new method, Coating Assisted Shrinkage of Space (CASS) process. This process simply coats polymer over the patterned wafer. It doesn't need a bake and rinse step for shrinkage. Sub-100 nm C/H patterns were successfully defined after coating CASS material with good profile.
Immersion lithography has drawn tons of interests as a potential solution for sub-65nm patterning. High refractive index liquid, which is filled in the gap between exposure lens and a photoresist, can improve a resolution through increased effective numerical aperture (NA) of the exposure system. Most attractive liquid for this purpose is water. Our works were conducted as a part of the basic study for immersion lithography and aimed for the verification of leached resist components by water. It was observed that leaching relies largely on the free volume of a polymer and anion size of photoacid generator (PAG). The larger free volume and the smaller anion, the larger T-top resist profile was generated. Additionally, effects of solvents, quenchers and polarity of the polymer were investigated. Detailed results will be reported in this paper.
As the device design rule is continuously shrinking, line end shortening (LES) has grown to be one of the critical problems in 193 nm photolithography. Among several factors causing LES, diffusivity of photo-generated acid seems to have the most profound effect. Also, diffusivity of base quencher produces equivalent effects on LES, but in the reversed way. Besides, post-exposure bake (PEB) condition is another key factor by affecting diffusion length of photo-generated acid. Low LES can be achieved by lowering PEB temperature or shortening its time. In this paper, we will discuss our experimental results to assess the determining factors of LES and suggest controllability of LES in ArF lithographic process.
512Mbit DRAM with 70 nm design rule was tailored using 0.31k1 ArF lithography technologies. Of the critical mask layers, four pattern layouts were demonstrated: brick wall, line/space, contact and line/contact patterns. For the sake of cost reduction, the conventional technologies were used. Results has shown that SLR (Single-Layer Resist) process, half-tone PSM and the conventional illuminations had a potential of manufacturing 70 nm DRAM. However, it was found that brick wall patterns had asymmetrical shape and total CD uniformity was out of target raging 9.2 nm through 16.3 nm depending mask layouts. We prospect that higher contrast resist and more elaborate resist process will address these problems sooner or later. In case the immersion lithography is not ready around the right time, the feasibility of 0.29k1 ArF lithography was studied through simulation and test, which represented that 0.29k1 technologies were likely to be applied for the development of 60 nm DRAM with the aid of RETs (Resolution Enhancement Technologies) including customized illumination and new hard mask process.
We have studied several factors having an effect on LER in terms of resist chemistry, resist process, CD-SEM metrology, numerical aperture and sigma settings of the exposure tool, and the mask pattern. LER is extracted from the developed resist profile. In ArF lithography process, development and rinse process is very critical because ArF resist is relatively hydrophobic compared to KrF resist. It causes heterogeneous interaction at interface of resist and aqueous solution (developer or deionized water). We improved roughness at contact hole pattern by the introduction of wetting process prior to development. Clear and homogeneous rinsing is also needed to remove scum and swelled resist generated at development step. On the other hand, the roughness of mask pattern is one of the important factors of LER on wafer. We confirmed that this global dislocation is a potent influence but local edge roughness of mask is insignificant to wafer LER. This dislocation of pattern is originated from the lack of shot accuracy in E-beam writer using variable shaped beam.
Post exposure bake temperature sensitivity (PEB sensitivity) is getting important for below 100nm device. There are several factors affecting the PEB sensitivity including acidity and diffusion of photogenerated acid, stiffness and free volume of base polymer, and so on. Among them, the activation energy for deprotection reaction is regarded as the most critical factor. We have investigated the influence of protection group with various activation energies as well as Tg of polymer. Several different protection groups were incorporated into the polymer chain to modify activation energy of the resist. Also, we have investigated the influence of acid diffusion and quencher diffusion ability on PEB sensitivity. Three photoresists were formulated with different concentration of acid diffusion controller to asses the influence of acid diffusion on CD variation. And to evaluate the effect of quencher diffusivity on CD change, photoresist was formulated by adding amines having various different molecular size. Detailed results and new resist with reduced the PEB sensitivity will be reported in this paper.
Sensitivity and resolution capability of photoresist depend on various parameters, such as efficiency of photoacid generation, base strength, types and concentration of protection groups on a polymer, as well as lithographic process condition. We have prepared polymers containing different protecting groups and investigated their effects on the sensitivity, and eventually, on ArF resist photolithographic behavior. Also, several different photoacid generators (PAGs) and bases were employed to study the influence of them on the resist sensitivity. We have changed process condition, especially, bake condition to discuss the role of bake temperature on the photochemical efficiency of the resist. It was found that the diffusion of the photogenerated acid and bases is the most significant factor to determine resist sensitivity than others. The detailed results will be discussed in this paper.
In-house rinse, HR31 has a strong point in terms of lithographic performance, defect, bubble, and metal impurity. The collapse behavior was quantified in terms of SMCD (Standing Minimum CD) in 80nm dense L/S ArF resist patterns. It contributed to enlarging process window by improving collapse (SMCD: 84→72nm), CD uniformity (12.3→9.3nm), and lithographic margin [EL (11.7→12.8%), and DOF (0.20→0.25µm)].
We designed and prepared a test mask to study a pattern collapse (PC) and investigated a rinse dependency. We report the effect of surfactant and solvent in rinse. The collapse behavior was quantified in terms of the first collapsed critical dimension (FCCD) in 90nm L/S ArF resist patterns. In-house rinse liquids (SE series) showed relatively lower surface tension (ST) compared to commercial one. They greatly reduced pattern collapse behavior (PCB) of from FCCD 102nm to 85nm L/S using these solutions. However, SE-100 showed defect by bubble and the others show bad compatibility with photoresist. SES-100 is the only rinse liquid candidate in this experiment.
Crown ether derivatives are composed of multi-ethyleneoxy units and have an electron rich cavity that can accommodate a proton. We have broadly investigated the effect of lone pair electrons of accumulated oxygen. First, we studied whether these crown compounds can control acid diffusion or not. Second, we synthesized monomers containing cyclic multi-ethyleneoxy units and studied their effect in polymers. Finally, we compared them with amines. Crown either, 18-crown-6, has a proper cavity to capture a proton by hydrogen bonding and actually had enough basicity to control acid diffusion. These studies show that crown ether derivatives can replace amines as a bases to restrain acid diffusion.
To accomplish minimizing feature size to sub 70nm, 157nm photolithography becomes a strong candidate as a new lithographic technology. However, there is a strong need for new photoresists, which are transparent to 157nm light sources. To have a transparency for 157nm light source, fluorinated organic polymers are studied intensively. As a result, there are some of polymers that have absorbance of 2/micrometers . However, in spite of this low absorbance of 2micrometers , resist profile simulation tells us bulk slope problems. TO obtain more than 85 degree of resist pattern profile, the absorbance of resist must be 1.2micrometers . The absorbance of 1.2micrometers is very difficult target to accomplish. To overcome this light absorption problem, we have developed amine gradient resist process (AGRP) which gives an amine gradient in photoresist and can make a vertical profile though the resist has poor transparency to light source. By adding chromophore that absorbs 193nm wavelength, we made model ArF resists of which absorbances were from 1.2micrometers to 4micrometers . By patterning experiment using these model resists and 193nm scanner, we could confirm that the resist absorbance should be lower than 1.2micrometers to obtain vertical profile pattern at the resist thickness of 150nm. But if we use AGRP, the absorbance of 2.5micrometers will be enough value for the vertical profile pattern. So we could conclude that by combining 157nm resists and amine gradient process, resist absorbance problem in 157nm photolithography could be solved. We also studied for the resist properties that were suitable for AGRP.
For the fabrication of IC devices, the patterning of C/H (contact holes) is essential but very difficult in comparison with L/S (lines and spaces). 193nm lithography following KrF lithography is expected to play a main role in 0.1micrometers technology node. However, many lithographers have reported various troubles such as poor profiles, resist shrinkage, and pattern edge roughness due to inherent flaws of ArF resist materials. In this study, we noticed such complex issues relating to patterning C/H and evaluated two resists (acrylate, ROMA), which are promising materials among ArF resists, at the condition of various baking temperatures of the soft bake and the post exposure bake. And then we investigated lithographic capability (resolution limit, exposure latitude, depth of focus, and CD uniformity) at the optimum bake conditions. Besides, the resist flow properties were estimated on both resists, respectively. Throughout experimentals, we were able to observe ArF resist properties for bake conditions and find optimum temperatures to improve several issues occurred on C/H pattern. Thus we directly achieved 0.12~0.10 micrometers C/H and also decade- nanometer C/H by applying the resist flow process.
We have developed several COMA (Cycloolefin-maleic anhydride) type resists and demonstrated their good lithographic performances, especially in the isolated line. Our resist (DHA-H110) was newly upgraded for the manufacturing of sub-100nm device in terms of bulk slope, LER (Line Edge Roughness), CD Linearity, and matching with substrate to prevent pattern collapse. The chemical structure of base resin was almost unchanged. The bulk slope resulted from high absorbency of the matrix resin was successfully overcome by introducing new additive, S1, which is an agent to remove not only top loss but also footing in the bottom. In real device application, DHA-H110 exhibits better adhesion and smaller LER than acrylate type resists on organic BARC. In addition, it shows superior pattern profile after etch process to acrylate type resists. In this paper, we suggest resist related issues for sub-100nm patterning and present lithographic performances of DHA-H110 in detail.
To overcome C/H(contact holes) shrinkage limitation of Resist Flow Process (RFP), we investigated and analyzed the tendency of the shrink bias according to the baking temperature and other process factors. Based on this basic test, we found that the shrink bias for the baking temperature could be modeled on the simple linear function. And also we estimated new Hotplate to improve CD uniformity after the resist flow and evaluated newly developed photoresist (New Resist) for the stable C/H shrinkage. In this study, we could recognize that CD uniformity after the resist flow was very dependent on actual temperature uniformity of Hotplate. Actually New Hotplate, which was superior to normal Hotplate, showed good CD uniformity (16nm) at the strong brink bias(140nm). On the other hand, the C/H shrinkage of New Resist was more stable than those of normal KrF Resists and its C/H profiles could not be severely deformed at even high baking temperature to shrink Sub-70nm C/H from original C/H(200nm). Based on these results, the progressive Resist Flow Process in KrF lithography will be a very robust candidate at even high gigabit generation devices.
The effect of mixed PAGs on the performance of ArF photoresists based on cycloolefin/maleic anhydride (COMA) copolymers were investigated. Several different PAGs were prepared according to the size of photogenerated acid moiety and structure of light sensitive chromophore, and the impact of PAG property on lithographic performance was investigated in terms of the acid size, acid generation efficiency, and hydrophobicity of PAG. The diffusion according to the size of generated acid and hydrophobicity of PAG were found to be the most profound factors of the pattern profile and line edge roughness (LER) of developed resist pattern. Resolution capability as well as PED stability can be improved though adjustment of acid size, PAG hydrophobicity. Additionally, profile difference between dark and bright field according to mask type can be suppressed by the use of PAG with lower acid generation efficiency. However, lithographic performance, such as LER, pattern profile, and PED stability show the dissimilar trend with acid size and hydrophobicity of PAG. Thus, when PAG mixture is employed in a photoresist formulation, it is observed that both pattern profile and LER were improved simultaneously, which has been difficult to achieve for previously reported OCMA- based ArF resists.
Application of a top surface imaging process by silylation (TIPS) to ArF excimer lithography is desirable for ULSI production with minimum feature size below 0.12micrometers . It provides high etch resistance and controls non-uniform reflectivity in the multiple film layers over topography and shows superior characteristics in terms of adhesion and resist pattern collapse compared with single layer resist process of wet development. The processes for top surface imaging have been improved by many groups, however, the design of photoresist for TIPS in 193nm lithography has been considerably limited due to its characteristics like energy sensitivity, silylation selectivity. Positive-tone chemically amplified TSI resist of crosslinking type is more appropriate for sub-100nm lithography in consideration of adhesion and resist rigidity. A new class of positive phororesist containing polyvinylphenol and novel acetal type crosslinker of poly(3,3'-dimethoxypropene) was developed for 193nm top surface imaging process by silylation. This new resist containing acetal type crosslinker is quite different with those practiced in traditional DUV and ArF lithography. Novel crosslinker was synthesized from polyacrolein with a yield of 90%. And then the silylation properties and lithography performances of the resist were investigated for 193nm top surface imaging process using gas-phase 1,1,3,3- tetramethyl disilazane(TMDS) as a silylating agent. The minimum pattern size of 0.12micrometers L/S was defined after dry development at a sensitivity of 2 mJ/cm2 with annular illumination using ArF microstepper having 0.60 NA. In this paper, the material characteristics of novel resist containing acetal type crosslinker of poly(3,3'- dimethoxypropene) and lithographic performances is reported for 193nm top surface imaging process by silylation.
In our previous model, we have introduced a lot of t- butylcarboxylate group in matrix resin to achieve a high contrast and obtain a good lithographic performance. Most ArF photoresists having only t-butylcarboxylate group as a dissolution inhibitor have showed by far the inferior performance in a poor amine controlled environment. To overcome this problem, we greatly reduced the usage of t-butyl carboxylate group and increased the amount of HMEBC that contains both carboxylic acid group and alcohol group. And also, we newly introduced acid labile cross-linker for high contrast. Our novel resist exhibited an excellent lithographic performance without any protective top coating material, namely, a good PED (post exposure delay) stability, an improved CD (Critical Dimension) linearity, a proper sensitivity for process, and a good contrast. In addition, its synthetic yield is very high (>50%) and then it is cost- effective for mass production. 120 nm patterns were successfully defined at 13 mJ/cm2 by using a BIM (Binary Intensity Mask) with 2/3 annular (0.50/0.75(sigma) ).
The compatibility of novel organic bottom anti-reflective coatings (BARC), HEART003 and HEART004 developed by Hyundai Electronics, with various chemically amplified resists (CAR) was reported. The incompatibility between organic BARC and CARs is caused by the differences of residual activity on the surface of organic BARC after baking process and the types of dissolution inhibitors in the polymer of CAR and photo acid generators (PAG) used in their formulation. In KrF lithography, the HEART003 was much compatible with acetal type resist than annealing type resist because it's neutral acidity on the surface of BARC. The incompatibility with annealing type resist was caused by weak residual acidity on the surface of BARC, relatively. Thus we tried to adjust the feasible residual acidity on the surface of BARC and optimum thermal baking condition. The modified HEART003 has excellent compatibility not only with acetal type resist, but also with annealing type resist in the same platform. In ArF lithography, the HEART004 has also good compatibility with cycloolefin type and (meth)acrylate type ArF resist by modification of its formulation.
We have newly developed a novel multi-functional monomer. Application of this monomer also allows us to introduce another unit to further improve its etch resistance. Furthermore, our novel resist containing this multi- functional monomer exhibits an excellent adhesion to Si substrate, an improved CD linearity, a high sensitivity, a good contrast, and a high synthetic yield. A 110nm L/S pattern was successfully at 1:2 pitch of a strong PSM was also successfully obtained by using a 2.38wt percent TMAH aqueous solution as a developer.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.