Optics contamination remains one of the challenges in extreme ultraviolet (EUV) lithography. Dependence of
contamination rates on key EUV parameters was investigated. EUV tools have optics at different illumination angles. It
was observed that at shallower angles, the carbon contamination rate and surface roughness was higher on the optics
surface. This is a concern in EUV optics as higher roughness would increase the scattering of the EUV radiation.
Secondary ion time of flight mass spectrometer (TOF-SIMS) data indicated that the carbon contamination film might be
a polymer. Three chemical species were used to investigate the dependence of polymerization and reactivity on the
contamination rate. Acrylic acid was found to have a measurable contamination rate above background compared to
propionic acid and methyl methacrylate. Secondary electron dissociation is one of the mechanisms considered to be a
cause for the growth of the carbon contamination film. Multiple experiments with two substrates having different
secondary electron yields were performed. The substrate with the higher secondary electron yield was found to give a
higher contamination rate.
Optics contamination remains one of the challenges in extreme ultraviolet (EUV) lithography. In addition to the
desired wavelength near 13.5 nm (EUV), plasma sources used in EUV exposure tools emit a wide range of
out-of-band (OOB) wavelengths extending as far as the visible region. We present experimental results of
contamination rates of EUV and OOB light using a Xe plasma source and filters. Employing heated carbon
tape as a source of hydrocarbons, we have measured the wavelength dependence of carbon contamination
on a Ru-capped mirror. These results are compared to contamination rates on TiO2 and ZrO2 capping layers.
The impact of carbon contamination on extreme ultraviolet (EUV) masks is significant due to throughput loss and
potential effects on imaging performance. Current carbon contamination research primarily focuses on the lifetime of the
multilayer surfaces, determined by reflectivity loss and reduced throughput in EUV exposure tools. However,
contamination on patterned EUV masks can cause additional effects on absorbing features and the printed images, as
well as impacting the efficiency of cleaning process. In this work, several different techniques were used to determine
possible contamination topography. Lithographic simulations were also performed and the results compared with the
experimental data.
Extreme ultraviolet (EUV) lithography is one of the most promising candidates for device patterning at the 22 nm halfpitch
node. The contamination of extreme ultraviolet optics has consistently been listed among the top challenges for the
commercialization of EUV lithography. In a lithography exposure tool under radiation exposure, the two main
mechanisms that degrade reflectivity of EUV molybdenum/silicon multilayer optics are carbonization and oxidation.
The accumulation of carbon on the mirror surfaces is a consequence of residual hydrocarbons and/or other carbon
containing molecules, while oxidation is likely due to water vapor. Theoretical and numerical modeling of EUV optics
and mask contamination kinetics can provide valuable insight into reaction mechanisms and help identify favorable
conditions that suppress contamination accumulation. However, the complexity of the underlying surface chemistry
currently renders obtaining predictive quality challenging. We investigate the validity of different model assumptions
and present preliminary numerical results on the dependence of contamination rates on key parameters including the
effect of out-of-band radiation.
Carbon contamination of extreme ultraviolet (EUV) masks and its effect on imaging is a significant issue due to lowered
throughput and potential effects on imaging performance. In this work, a series of carbon contamination experiments
were performed on a patterned EUV mask. Contaminated features were then inspected with a reticle scanning electron
microscope (SEM) and printed with the SEMATECH Berkeley Microfield-Exposure tool (MET) [1]. In addition, the
mask was analyzed using the SEMATECH Berkeley Actinic-Inspection tool (AIT) [2] to determine the effect of carbon
contamination on the absorbing features and printing performance.
To understand the contamination topography, simulations were performed based on calculated aerial images and resist
parameters. With the knowledge of the topography, simulations were then used to predict the effect of other thicknesses
of the contamination layer, as well as the imaging performance on printed features.
The effect of higher film quantum yields (FQYs) on the resolution, line-edge roughness, and sensitivity (RLS)
tradeoff was evaluated for extreme ultraviolet (EUV, 13.5 nm) photoresists. We determined the FQY of increasingly
high levels of an iodonium photoacid generator (PAG) using two acid detection methods. First, base titration methods
were used to determine C-parameters for acid generation, and second, an acid-sensitive dye (Coumarin-6) was used to
determine the amount of acid generated and ultimately, to determine absorbance and FQYs for both acid detection
methods. The RLS performance of photoresists containing increasing levels of PAG up to ultrahigh loadings (5-40 wt%
PAG) was evaluated. RLS was characterized using two methods:
• KLUP resist performance
•Z-Parameter (Z = LER2*Esize*Resolution3)
Typical extreme ultraviolet (EUV) photoresist is known to outgas carbon-containing molecules, which is of particular
concern to the industry as these molecules tend to contaminate optics and diminish reflectivity. This prompted extensive
work to measure these species and the quantities that they outgas in a vacuum environment. Experiments were
performed to test whether the outgassing rate of these carbon-containing molecules is directly proportional to the rate at
which the EUV photons arrive and whether a very high power exposure will cause the same amount of outgassing as a
much lower power exposure with the dose unchanged.
The cost of ownership (CoO) of candidate technologies for 22 nm half-pitch lithography is calculated. To more accurately compare technologies with different numbers of process steps, a model that includes deposition, etching, metrology, and other costs is created. For 22 nm half-pitch nodes, extreme ultraviolet lithography (EUVL) has a significant cost advantage over other technologies under certain mask cost assumptions. Double patterning, however, may be competitive under worst-case EUVL mask cost assumptions. Sensitivity studies of EUVL CoO to throughput and uptime show EUVL may be cost-competitive at lower uptime and throughput conditions. Finally, calculation of the CoO of 450 mm lithography shows that the expected cost reduction is between 0% and 15%.
The cost of ownership (COO) of candidate technologies for 32 nm and 22 nm half-pitch lithography is calculated. To more accurately compare technologies with different numbers of process steps, a model that includes deposition, etching, metrology, and other costs is created. Results show lithography COO for leading edge layers will increase by roughly 50% from the 45 nm to the 32 nm half-pitch nodes. Double patterning and extreme ultraviolet lithography (EUVL) technologies have roughly the same COO under certain conditions. For 22 nm half-pitch nodes, EUVL has a significant cost advantage over other technologies under certain mask cost assumptions. Double patterning, however, may be competitive under worst case EUVL mask cost assumptions. Sensitivity studies of EUVL COO to throughput and uptime show EUVL may be cost-competitive at lower uptime and throughput conditions. In spite of these higher costs, total lithography costs for 32 nm and 22 nm half-pitches remain within reach of the Moore's Law trend. Finally, the COO of 450 mm lithography is calculated and shows the expected cost reduction is between 0% and 15%.
Anticipating the cost of ownership (COO) of different lithography approaches into the future is an
act of faith. It requires that one believe that all of the lithographic problems with next generation lithography
(NGL) approaches will be sufficiently resolved to support the production of manufacturing wafers. This paper
assumes that all of the necessary technologies will be available in the future and that the cost of the
components can be extrapolated from historic cost trends. Mask and wafer costs of a single critical
lithography layer for the 65, 45, 32 and 22 nm half-pitch (HP) nodes will be compared for immersion, double
process (DP), double expose (DE), extreme ultraviolet (EUV), and imprint technologies. The mask COO
analysis assumes that the basic yield of an optical mask is constant from node to node and that the
infrastructure that allows this performance will be in place when the technologies are needed. The primary
differences in mask costs among lithography approaches are driven by the patterning write time and
materials. The wafer COO is driven by the mask cost (for the low wafer-per-mask use case), the lithography
tool cost, and the effective wafers per hour (wph) for the lithography approach being considered.
Extending lithography to 32 nm and 22 nm half pitch requires the introduction of new lithography technologies, such as
EUVL or high-index immersion, or new techniques, such as double patterning. All of these techniques introduce large
changes into the single exposure immersion lithography process as used for the 45 nm half pitch node. Therefore, cost
per wafer is a concern. In this paper, total patterning costs are estimated for the 32 nm and 22 nm half pitch nodes
through the application of cost-of-ownership models based on the tool, mask, and process costs. For all cases, the cost of
patterning at 32 nm half pitch for critical layers will be more expensive than in prior generations. Mask costs are
observed to be a significant component of lithography costs even up to a mask usage of 10,000 wafers/mask in most
cases. The more simple structure of EUVL masks reduces the mask cost component and results in EUVL being the most
cost-effective patterning solution under the assumptions of high throughput and good mask blank defect density.
This paper describes our initial investigation into building a greater understanding of the complex mechanism occurring during extreme ultraviolet (EUV) exposure of resist materials. In particular, we are focusing on the number and energy of photoelectrons generated and available for reaction with photoacid generators (PAGs). We propose that this approach will best enable the industry to develop resists capable of meeting resolution, line width roughness (LWR), and sensitivity requirements.
One of the remaining challenges for the commercialization of EUV lithography is the lifetime of
the Mo/Si multilayer optics and masks. The lifetime is dominated by carbon contamination on the surfaces
of the optics, which is caused by residual hydrocarbons in the vacuum chamber when optics are exposed to
EUV radiation. One of the possible sources of the hydrocarbons in the chamber is resist outgassing. To be
able to understand which type of hydrocarbons are harmful to EUV mirror reflectivity, three hydrocarbon
species - benzene, tert-butanol and diphenyl sulfide - which are thought to be representative of commonly
outgassed species from EUV photoresist were selected. The goal of this work was to measure the
contamination rate from these three species and to be able to draw conclusions about other species. The
results of the experiments showed that after 8 hours of exposure there was not enough contamination to be
significantly measurable. In addition to these hydrocarbon species, we also used vacuum grease and carbon
tape as an outgassing source for hydrocarbons. Comparatively, high contamination rates were achieved
with vacuum grease and carbon tape.
Extreme ultraviolet (EUV) photoresists are known to outgas during exposure to EUV radiation in the vacuum
environment. This is of particular concern since some of the outgassed species may contaminate the nearby EUV optics
and cause a loss of reflectivity and therefore throughput of the EUV exposure tools. Due to this issue, work has been
performed to measure the species and quantities that outgas from EUV resists. Additionally, since the goal of these
measurements is to determine the relative safety of various resists near EUV optics, work has been performed to measure
the deposition rate of the outgassed molecules on Mo/Si-coated witness plate samples. The results for various species
and tests show little measurable effect from resist components on optics contamination with modest EUV exposure
doses.
We characterize the interaction of ethyl alcohol (C2H5OH) vapor with a crystalline Ru(1010) surface, a model
surface for Ru capping layers used for extreme ultraviolet lithography (EUVL) optics. Our main objective is to provide
fundamental insights into the basic physical processes that affect the reflectivity of Ru-coated Mo/Si multilayer EUV
mirrors that are exposed to EUV radiation. While ethanol has been used previously as a gaseous hydrocarbon in EUV
mirror lifetime tests, there appear to be no published reports describing the basic surface chemistry of ethanol on Ru.
We use ultrahigh vacuum surface science methods, and find that fractional monolayers (ML) of ethanol dissociate
almost completely on clean Ru(1010); H2 and CO desorb upon heating to ~500 K, and leave a fractional ML of carbon
on the surface. At coverages greater than a few tenths of a ML, ethanol desorbs in molecular form at temperatures below
300 K. Weakly chemisorbed ethanol in the first ML desorbs at ~210 K with a binding energy of 0.55 eV. Multilayer
ethanol desorbs at ~155 K. Repeated dosing of ethanol followed by heating to ~600 K causes accumulation of a carbon
monolayer which becomes unreactive to ethanol. Also, we find that an oxygen monolayer is unreactive, with little or no
dissociation of ethanol observed. Electron bombardment of Ru at 300 K in 5×10-8 Torr ethanol can lead to accumulation
of a carbon film >1nm thick.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.