As transistor dimensions shrinks, the requirement for wafer critical dimensions control is becoming increasingly
challenging. The intra-field critical dimension uniformity (CDU) of the features on the reticle is one of the many
sources of wafer CD variation. In this paper, we study how the CDU on the reticle can be obtained by using the
intensity information collected during reticle inspection (iCDUTM) on the KLA-Tencor TeraScan reticle inspection
tool. The collected CDU information of the reticle is then applied as an intra-field dose correction function to
improve wafer intra-field CD uniformity.
Using this method of extracting the reticle CDU from the intensity information allows for simple integration into a
high-volume production environment and an improved capability for intra-field CDU correction without the need to
expose any wafers for CD measurement nor any GDS design information. The ability to apply iCDU on prototype
devices on first pass run can also accelerate device development.
The Critical Dimension Uniformity (CDU) specification on photo-mask is getting increasingly tighter which each
successive node. The ITRS roadmap for optical masks indicates that, the CDU (3 sigma) for dense lines for binary or
attenuated phase shift mask is 3.4nm for 45nm half-pitch (45HP) node and will go down to 2.4nm for 32HP node. The
current variability in mask shop processes results in CDU variation across the photo-mask of ~2-3nm.
Hence, we are entering in a phase where the mask CDU specification is approaching the limit of the capability of the
current POR (process on record). Hence, mask shops have started exploring more active mechanisms to improve or
compensate for the CDU of the masks. A typical application is in feeding back the CDU data to adjust the mask writer
dose and compensate for non-uniformity in the CDs, resulting in improved quality of subsequent masks. Another option
is to feed the CD uniformity information forward into the wafer FAB and adjust the scanner dose to correct for reticle
non-uniformity. For these purposes mask makers prefer a dense measurement of CDs across the reticle in a short time.
Mask makers are currently using the CD-SEM tool for data collection. While the resolution of SEM data ensures its
position as the industry standard, an output map of CDU from a reticle inspection tool has the advantage of denser
sampling over larger areas on the mask. High NA reticle inspection systems scan the entire reticle at high throughput,
and are ideally suited for collecting CDU data on a dense grid.
In this paper, we describe the basic theory of a new, reticle inspection-based CDU tool, and results on advanced
memory masks. We discuss possible applications of CDU maps for optimizing the mask manufacturing and wafer
production processes.
The Critical Dimension Uniformity (CDU) specification on photomasks continues to decrease with each successive node.
The ITRS roadmap for optical masks indicates that the CDU (3 sigma) for dense lines on binary or attenuated phase shift
mask is 3.4nm for the 45nm half-pitch (45HP) node and will decrease to 2.4nm for the 32HP node. The current
capability of leading-edge mask shop patterning processes results in CDU variation across the photomask of a similar
magnitude.
Hence, we are entering a phase where the mask CDU specification is approaching the limit of the capability of the
current Process of Record (POR). Mask shops have started exploring more active mechanisms to improve the CDU
capability of the mask process. A typical application is feeding back the CDU data to adjust the mask writer dose to
compensate for non-uniformity in the CDs, resulting in improved quality of subsequent masks. Mask makers are
currently using the CD-SEM tool for this application. While the resolution of SEM data ensures its position as the
industry standard and continued requirement to establish the photomask CD Mean to Target value, a dense measurement
of CDs across the reticle with minimal cycle time impact would have value.
In this paper, we describe the basic theory and application of a new, reticle inspection intensity-based CDU approach
that has the advantage of dense sampling over larger areas on the mask. The TeraScanHR high NA reticle inspection
system is used in this study; it can scan the entire reticle at relatively high throughput, and is ideally suited for collecting
dense CDU data. We describe results obtained on advanced memory masks and discuss applications of CDU maps for
optimizing the mask manufacturing process. A reticle inspection map of CDU is complementary to CD-SEM data. The
dense data set has value for various applications, including feedback to mask writer and engineering analysis within the
mask shop.
A new methodology - Aerial Plane Inspection (API) - has been developed to inspect advanced photomasks
used for the 45 nm node and beyond. Utilizing images from a high resolution mask inspection system, a
mask image is recovered by combining the transmitted and reflected images. A software transformation is
then performed to replicate the aerial image planes produced in a photolithography exposure system. These
aerial images are used to compare adjacent die in a Die-Die inspection mode in order to find critical defects
on the photomask. The mask recovery process and modeling of the aerial plane image allows flexibility to
simulate a wide range of lithographic exposure systems, including immersion lithography. Any source
shape, Sigma, and numerical aperture (NA) can be used at all common lithographic wavelengths.
Sensitivity of the inspection can be fully adjusted to match photomask specifications for CD control, lineend
shortening, OPC features, and for small and large defective areas. An additional adaptive sensitivity
option can be utilized to automatically adjust sensitivity as a function of MEEF.
Using the Aerial Plane Inspection to compare pattern images has the benefit of filtering out non-printing
defects, while detecting very small printing defects. In addition, defects that are not printing at ideal
exposure condition, but may be reducing the lithographic process window, can also be detected.
Performing defect detection at the aerial image plane is more tolerant to small Optical Proximity Correction
(OPC) sub-resolution assist features (SRAFs) that are difficult to inspect at the reticle image plane.
Source Mask Optimization (SMO) describes the co-optimization of the illumination source and mask pattern in the
frequency domain. While some restrictions for manufacturable sources and masks are included in the process, the
resulting photomasks do not resemble the initial designs. Some common features of SMO masks are that the line edges
are heavily fragmented, the minimum design features are small and there is no one-to-one correspondence between
design and mask features. When it is not possible to link a single mask feature directly to its resist counterpart,
traditional concepts of mask defects no longer apply and photomask inspection emerges as a significant challenge. Aerial
Plane Inspection (API) is a lithographic inspection mode that moves the detection of defects to the lithographic plane.
They can be deployed to study the lithographic impact of SMO mask defects. This paper briefly reviews SMO and the
lithography inspection technologies and explores their applicability to 22nm designs by presenting SMO mask
inspection results. These results are compared to simulated wafer print expectations.
As optical lithography progresses towards 32nm node and beyond, shrinking feature size on photomasks and growing
database size provides new challenges for reticle manufacture and inspection. The new TeraScanXR extends the
inspection capability and sensitivity of the TeraScanHR to meet these challenges. TeraScanXR launches a new function
that can dynamically adjust defect sensitivities based on the image contrast (MEEF) -- applying higher sensitivity to
dense pattern regions, and lower sensitivity to sparse regions which are lithographically less significant. The defect
sensitivity of TeraScanXR for Die-to-Die (DD) and Die-to-Database (DDB) inspection mode is improved by 20-30%,
compared with TeraScanHR. In addition, a new capability is introduced to increase sensitivity specifically to long CD
defects. Without sacrificing the inspection performance, the new TeraScanXR boosts the inspection throughput by 35%-
75% (depending upon the inspection mode) and the dataprep speed by 6X, as well as the capability to process 0.5-1
Terabyte preps for DDB inspection.
KEYWORDS: Prototyping, Inspection, Reticles, Sensors, Detection and tracking algorithms, Imaging systems, Logic, SRAF, Signal to noise ratio, Digital breast tomosynthesis
A prototype die-to-database high-resolution reticle defect inspection system has been developed for 32nm and below
logic reticles, and 4X Half Pitch (HP) production and 3X HP development memory reticles. These nodes will use
predominantly 193nm immersion lithography (with some layers double patterned), although EUV may also be used.
Many different reticle types may be used for these generations including: binary (COG, EAPSM), simple tritone,
complex tritone, high transmission, dark field alternating (APSM), mask enhancer, CPL, and EUV. Finally, aggressive
model based OPC is typically used, which includes many small structures such as jogs, serifs, and SRAF (sub-resolution
assist features), accompanied by very small gaps between adjacent structures. The architecture and performance of the
prototype inspection system is described. This system is designed to inspect the aforementioned reticle types in die-todatabase
mode. Die-to-database inspection results are shown on standard programmed defect test reticles, as well as
advanced 32nm logic, and 4X HP and 3X HP memory reticles from industry sources. Direct comparisons with currentgeneration
inspection systems show measurable sensitivity improvement and a reduction in false detections.
KEYWORDS: Inspection, Reticles, Line edge roughness, Signal to noise ratio, Sensors, Detection and tracking algorithms, Spatial frequencies, Modulation transfer functions, Image processing, Defect detection
The new TeraScanXR reticle inspection system extends the capability of the previous TeraScanHR platform to advanced
32nm logic and 40nm Half Pitch (HP) memory technology nodes. The TeraScanXR has been designed to provide a
significant improvement in image quality, defect sensitivity and throughput relative to the HR platform. Defect
sensitivity is increased via a combination of improved Die-to-Die (D:D) and Die-to-Database (D:DB) algorithms, as well
as enhancements to the image auto-focus (IAF). Modifications to system optics and the introduction of a more powerful
image processing computer have enabled a ~2X faster inspection mode. In this paper, we describe the key features of the
TeraScanXR platform and present preliminary data that illustrate the capability of this tool. TeraScanHR tools currently
at customer sites are field-upgradeable to the TeraScanXR configuration.
Semiconductor device manufacturers have made technological advances in fabricating devices at 65nm and 45nm nodes. Technology is advancing towards 32nm node devices. Reticles at these device nodes are designed with tight critical dimension (CD) specifications and sub-resolution features. Inspection tools capable of detecting CD defects on the order of 20 nm are required to accommodate these device nodes. To meet this challenge, KLA-Tencor has developed a new "CD Detector" capability on the TeraScanHR reticle inspection tool that efficiently detects two-sided CD defects on reticles at the 45nm node and beyond. The CD Detector is available in both Die-to-Die (DD) and Die-to-Database (DB) inspection modes. This paper presents results of a CD Detector Beta evaluation on variety of advanced reticles in a production setting at Advanced Mask Technology Center (AMTC) in Germany. Inspection results will demonstrate improved sensitivity to two-sided CD defects and good inspectability, at inspection times similar to a standard HiRes inspection. Discussion will focus on enabling the highest sensitivity to CD defects at 72nm pixel inspections, which is suitable for advanced research and development studies, as well as improved sensitivity at 90nm pixel inspections for higher productivity.
In the relentless pursuit of device miniaturization and sustainable yield performance, resolution enhancement techniques
(RET) such as optical proximity correction (OPC) and sub-resolution assist feature (SRAF) are identified as enabling
technologies that fuel the industry. The introduction of advanced reticles, however, considerably augments the mask
error enhancement factor (MEEF) where the growth of progressive defects or haze is accelerated by repeated laser
exposure, and continues to be a source of reticle degradation threatening device yield. Previous investigations have
identified ammonium sulfate, cyanuric acid and ammonium oxalate as the primary and most concerning species found in
both mask shop and wafer fabs.
In this work, magnesium sulfate is used to emulate crystal growth due to its identical optical properties to ammonium
sulfate. A technique has been developed to deposit magnesium sulfate of varying concentrations onto chemically cleaned
reticle surfaces. These defects are then inspected with a high resolution reticle inspection system enabled with MEEF
detector Litho3. Upon inspection, defects are classified and analyzed with respect to their location relative to device
geometry, optical transmission loss as well as the residing surface. Ammonium oxalate crystals are also deposited
separately onto reticle surface to comprehend the impact of crystal type and population on defect printability.
Compositional analysis are carried out using Raman spectroscopy and time-of-flight secondary ion mass spectroscopy
(TOF-SIMS) to correlate the amount of magnesium sulfate and ammonium oxalate crystals with transmission loss. Such
emulation study of various crystal formulation mimics progressing stages of crystallization and allows a mechanistic
understanding of crystal congregation, transmission loss and defect printability.
Sub-resolution assist features (SRAF) are a common optical proximity correction method to preserve
main feature patterns upon imaging into a photoresist during the lithographic process. The presence
of SRAF can often reduce the inspectability and usable sensitivity in high resolution inspections of
these reticles. KLA-Tencor has developed an improved Thin-Line
De-sense capability for Die-to-Database inspections (dbTLD) on the TeraScanHR that addresses this challenge. The dbTLD
capability provides sensitivity control focused on SRAF, thus improving inspectability without compromising high sensitivity to main features. The key feature of the improved dbTLD capability is that it provides greater flexibility to effectively de-sense
non-critical defects on SRAF in variable sizes oriented at any angle and in variety of shapes including challenging L- and U-shaped structures. This paper will demonstrate the value of dbTLD on improving inspectability where aggressive SRAF structures exist. The selective application of sensitivity on main features and assist features is the key to improvement in database inspections without impacting throughput.
STARlight2+TM (SL2+) is a new high-resolution contamination inspection system based upon the KLA-Tencor
TerascanHR platform. Building upon the proven technology of STARlightTM (SL2), SL2+ uses transmitted and reflected
images to detect potentially yield-limiting contamination defects on photomasks for wafer fabs and mask shops. It
extends the contamination inspection capability to the 32nm logic/45nm Half Pitch (HP) technology nodes using the
newly developed 72nm pixel image resolution as well as a significantly improved rendering model in the algorithm. In
this paper, we present inspection results on a wide variety of photomasks, spanning the 32nm to 110nm technology
nodes, in the recently concluded period of Alpha tests on the SL2+ system. The test results show that the sensitivity and
the inspection capability of the new SL2+ system have been greatly improved. Such improvement enables wafer fabs
and mask shops to inspect and qualify photomasks for 32nm node development and 45nm node production.
As the design rule continues to shrink towards 3x nm and below, lithographers are searching for new and
advanced methods of mask lithography such as immersion, double patterning and extreme ultraviolet
lithography (EUVL). EUV lithography is one of the leading candidates for the next generation lithography
technologies after 193 nm immersion and many mask makers and equipment makers have focused on
stabilizing the process. With EUV lithography just around the corner, it is crucial for advanced mask makers
to develop and stabilize EUV mask processes. As a result, an inspection tool is required to monitor and
provide quick feedback to each process step.
Ever-tightened design rules and ensuing aggressive OPC features pose significant challenges for wafer fabs in the pursuit
of compelling yield and productivity. The introduction of advanced reticles considerably augments the mask error
enhancement factor (MEEF) where progressive defects or haze, induced by repeated laser exposure, continue to be a
source of reticle degradation threatening device yield. High resolution reticle inspection now emerges as a rescue venue
for wafer fabs to assure their photomask integrity during intensive deep UV exposure. Integrated in the high resolution
reticle inspection, a MEEF-driven lithographic detector "Litho3" can be used run-time to group critical defects into a
single bin. Previous investigations evinced that critical defects identified by such detector were directly correlated with
defects printed on wafer, upon which fab users can make cogent decisions towards reticle disposition and cleaning
therefore reduce cycle time.
One of the challenges of implementing such detector resides in the lengthy set up of user-defined parameters, from
practitioner standpoint, can considerably extend reticle inspection time and inevitably delay production. To overcome
this, an automatic simulation program has been written to optimize Litho3 settings based off a pre-inspection in which
only default Litho3 values are needed. Upon completion of the pre-inspection, the images are then scanned and
processed to extract the optimal Litho3 parameters that are largely dependent upon the feature size characteristics and
local MEEF. Thus optimized Litho3 parameters can then be input into the recipe set up to enable a real-time inspection,
as such fab user can timely access the defect criticality information for subsequent defect disposition. In the interest of
printability validation, such defect information and associated coordinates can be passed onto defect review via XLINK
for further analysis. Corresponding MEEF values are also available for all identified critical defects. Through this
automatic program the set up time for Litho3 can be reduced by up to 90%.
For high capacity production fabs running a pre-inspection is deemed infeasible; this automatic optimization program
can also serve as a direct interpretation of any regular reticle inspection even without invoking Litho3 set up, yet in the
end provide output in the context of defect criticality. Results acquired from this program were found in good accordance
with those from the real-time Litho3 inspection, for both critical and non-critical layers of 90 nm design node. Such
capability allows detailed study of defect criticality in relation to its size, defect optical transmittance, residing surface,
its proximity to a printing pattern as well as lithography parameters such as NA and sigma. Furthermore, coupling this
automatic program with high resolution inspection also assists in determining lithography process window and an indepth
comprehension of defect progression mechanism.
The ORIONTM series of test reticles have been used for many years as the photomask industry standard for evaluating contamination inspection algorithms. The deposition of Polystyrene Latex (PSL) spheres on various reticle pattern
designs allow STARlightTM tool owners to measure the relative contamination inspection performance in a consistent and quantifiable manner. However, with recent inspection technology advances such as shorter laser (light source)
wavelengths and smaller inspection pixels, PSL spheres were observed to physically degrade over relatively short time
periods: especially for the smallest sized spheres used to characterize contamination inspection performance at the most
advanced technology nodes.
Investigations into using alternative materials or methods that address the issue of PSL shrinkage have not yet proven
completely successful. Problems such as failure to properly adhere to reticle surfaces or identification of materials that
can produce consistent and predictable sphere sizes for the reliable manufacture of these critical test masks are only some
of the challenges that must be solved. Even if these and other criteria are met, the final substance must appear to
inspection optics as pseudo soft defects which resemble actual contamination that inevitably appears on production
reticle surfaces.
In the interim, programmed pindot defects present in the quartz region of the SPICATM test reticle are being used to characterize contamination performance while a suitable long-term solution to address the issue of shrinking PSL
spheres on ORION masks can be found. This paper examines the results of a programmed pindot test reticle specifically
designed to evaluate contamination algorithms without the deposition of PSL spheres or similar structures. This
alternative programmed pindot test reticle uses various background patterns similar to the ORION, however, it also
includes multiple defects sizes and locations making it more desirable than the limited range of defects found on the
SPICA.
The advent of device miniaturization necessitates sub-half-micron features delineated on reticles where photomask quality, more so than ever, exerts remarkable yield impact on 65 nm node and below. The introduction of advanced reticles considerably augments the mask error enhancement factor (MEEF) in the non-linear regime ensuing aggressive OPC features. The increased MEEF leads to tightened defect capture criteria, in which many of the previously
insignificant defects become of interest and may have substantial yield impact. To provide desired sensitivity, a high resolution inspection is a must; it also effectively monitors mask reliability. However, the productivity of such inspection greatly depends on defect disposition efficacy in sorting out critical defects from the large population detected on contaminated masks [1-3].
Anchoring high resolution reticle inspection, wafer fabs are in a relentless pursuit of optimal defect disposition method to meet the throughput demand. In particular, progressive defects or haze, induced by repeated laser exposure, continue to be a source of reticle degradation threatening device yield. Early detection of these defects to circumvent the printability impact becomes vitally important yet challenging. In addition to its size, the defect criticality also largely depends upon defect optical transmittance, residing surface, its proximity to a printing pattern as well as lithography parameters such as NA and sigma [4-6].
A MEEF-driven lithographic detector named "Litho3" has been designed that can be used run-time during mask inspection to effectively group the critical defects into a single bin based on their potential yield impact. The coordinates of these critical defects, identified by the above Litho3 detector, can then be transferred from reticle to wafer and subsequently subject to printability validation, upon which defective sites can be analyzed thoroughly on reticle or wafer review tools. Such capability reduces inspection cycle time by improving defect disposition efficacy, also assists in
determining lithography process window and a further comprehension of defect progression mechanism.
A new die-to-database high-resolution reticle defect inspection system has been developed for the 45nm logic node and
extendable to the 32nm node (also the comparable memory nodes). These nodes will use predominantly 193nm
immersion lithography although EUV may also be used. According to recent surveys, the predominant reticle types for
the 45nm node are 6% simple tri-tone and COG. Other advanced reticle types may also be used for these nodes
including: dark field alternating, Mask Enhancer, complex tri-tone, high transmission, CPL, EUV, etc. Finally,
aggressive model based OPC will typically be used which will include many small structures such as jogs, serifs, and
SRAF (sub-resolution assist features) with accompanying very small gaps between adjacent structures. The current
generation of inspection systems is inadequate to meet these requirements. The architecture and performance of a new
die-to-database inspection system is described. This new system is designed to inspect the aforementioned reticle types
in die-to-database and die-to-die modes. Recent results from internal testing of the prototype systems are shown. The
results include standard programmed defect test reticles and advanced 45nm and 32nm node reticles from industry
sources. The results show high sensitivity and low false detections being achieved.
As the design rule continues to shrink towards 45 nm node and beyond, the lithographers need the new technologies such as immersion lithography and EUV lithography. Also the inspection specification on the printed reticle defects is becoming even more challenging for the reticles used in both lithography methods.
The main purpose of this study is to investigate the pattern defect detection capability on EUV mask with the memory design patterns of 45 nm node and below in the DUV reticle inspection systems at our mask-shop and to compare those results with the absorber defect specification from the EUV lithography simulation in those design rules.
In addition, we investigate the inspection capability on the pattern defects with the test optical mask designed in 45 nm node and below for the immersion lithography and compare the defect detection ability on the EUV mask and the optical mask in the current DUV reticle inspection equipment.
Advanced wafer fabs are currently fabricating devices with 90nm and 65nm design rules using 193nm lithography. To meet the challenges at these sub-wavelength technology nodes, mask designers are using a variety of resolution enhancement techniques (RETs) in lithography which require new methods of processing, inspecting and qualifying photomasks. As a result, reticle inspection tools need to be capable of detecting smaller defects on ever tighter critical dimensions and background patterns that are considerably more complicated than before. To meet the challenges of current and future technology nodes, a variety of new inspection modes have been developed on the KLA-Tencor Deep UV TeraScan reticle inspection tool. These new inspection modes include Reflected light (Die-to-Die and Die-to-Database) modes, a Transmitted light Tritone (Die-to-Database) mode for inspecting Embedded Attenuated Phase Shift Masks (EAPSMs) with chrome in the inspection area, as well as a STARlight2 (SL2) mode for contamination detection. The SL2 inspection mode is the natural successor to the STARlight contamination detection algorithm on the previous generation of KLA-Tencor reticle inspection tools. Each of the inspection modes comes with its own set of inspectability and sensitivity capabilities and therefore the selection and/or optimization of a mode can depend upon a number of factors. In this paper we will present the inspection modes that are available on the TeraScan platform and discuss the appropriate use cases for each of the modes, based on reticle type and the intended objectives of the inspection.
Semiconductor product designs are necessarily constrained by both the wafer and mask lithographic capabilities. When mask image sizes approach the exposure wavelength, optical and resist effects distort the printed images. Applying optical proximity correction (OPC) to design features on the mask compensates for diffraction effects. However, aggressive OPC introduces even smaller minimum features, adds notches and bulges, introduces sub-resolution assist features (SRAFs) and generally creates a more challenging mask design with respect to data handling, printing and inspection. Mask defect inspection is a critical part of the mask process, ensuring that the mask pattern matches the intended design. However, the inspection itself imposes constraints on mask patterns that can be inspected with high defect sensitivity but low nuisance defect counts. These additional restrictions are undesirable since they can reduce the effectiveness of the OPC. IBM and KLA-Tencor have developed a test mask methodology to investigate the inspectability limits of the 576 and 516 mask inspection systems. The test mask design contains a variety of rules or features that currently impose inspectability limits on the inspection tools, in a range of sizes. The design also incorporates many features essential for obtaining valid results, such as a user-friendly layout, multiple pattern orientations, and background patterns. The mask was built and inspected in IBM Burlington's mask house. Preliminary inspection results will be presented; they underscore the importance of understanding both the inspection tool and the mask process when restricting mask design rules.
Many advanced wafer fabs are currently fabricating devices with 130nm or smaller design rules. To meet the challenges at these sub-wavelength technology nodes, fabs are using a variety of resolution enhancement techniques (RETs) in lithography and exploring new methods of processing, inspecting and requalifying photomasks. The acceleration of the lithography roadmap imposes more stringent requirements on mask qualification and requalification to ensure that device yields are not compromised: mask inspection tools of today need to find smaller defects on reticles against considerably more complicated patterns or tighter critical dimensions (CDs). In this paper we describe the early stages of implementation and proliferation of advanced reticle inspection tools at high volume manufacturing wafer fabs. The fabs run incoming multi-surface contamination inspections on masks sent from the mask shop (Intel Mask Operations, IMO), and follow them up with periodic inspections/review to make sure any new contaminant or damage does not go undetected. When necessary, images of defects are electronically presented to engineers at IMO for review. Reticle requalification with these inspection tools reduces or eliminates the need for print test verification. We describe the tools and procedure used to streamline reticle requalification at the fabs and improve the feedback loop between the fabs and the mask shop.
EUV masks are exposed at a wavelength of 13.4 nm, but patterned mask inspection will be in the wavelength range of 157 nm to 257 nm. This large mismatch in wavelength raises questions as to whether the defects that are found in inspection will be the defects that print in a EUV exposure tool. This paper addresses part of this question by considering how small certain nuisance defects must be in order to not limit the optical inspection tool’s sensitivity. That is, the tool must be capable of finding critical printing defects and must not find nonprinting defects. A nuisance defect is considered to be one that the inspection tool may be sensitive to, but will not print on a wafer. We have used a 3D Maxwell equation simulator to simulate the inspection images obtained for a variety of nuisance defects of different types and sizes. We have done these calculations assuming that the EUV lithography will be performed at mask dimensions of 200 nm lines and spaces with a 4X mask, so the features would print at 50 nm lines and spaces. We have determined the critical size of such nuisance defects to be 40nm or larger, depending on defect type. Nuisance defects larger than about 40 nm square may limit the inspection tool’s sensitivity to printing defects. The ITRS roadmap specification for patterned defects at the 50 nm node is 40 nm. Therefore, the limit in size for such nuisance defects is not more stringent than the limits that must be met to match the patterned defect size specification. This work should provide guidance in developing a EUV mask specification that ensures that inspection tools will be able to meet the needs of EUV lithography. This work has been sponsored in part by NIST-ATP Cooperative Agreement #70NANB8H44024.
Driven by Moore's Law, the quest to double the number of transistors on a given chip every 18-24 months, the density and complexity of patterns on photomasks has increased steadily and significantly. To maintain the fidelity of shrinking features, reticle enhancement techniques such as OPC (Optical Proximity Correction) and Phase Shift Masks are now widely used in optical lithography to extend the lifetime of the existing technology. These techniques (or advanced reticles) provide the desired improvements in spatial resolution, but also complicate the task of reticle defect inspection. In this paper, we present results from ongoing contamination inspections of Embedded (Attenuated) Phase Shift Masks (EPSMs) for 248nm and 193nm lithography. A variety of 248nm masks have been successfully inspected on the KLA-Tencor STARlightTM SL3UV and SL3 tools at Intel Mask Operations (IMO) in Santa Clara, CA. Lessons learned from inspection of 248nm masks are being applied towards inspection of 193nm masks. A representative sample of inspection parameters such as algorithm options, and corresponding inspection results (defect types, capture rates etc.) are presented and discussed in the paper.
KEYWORDS: Signal processing, Sensors, Digital signal processing, Cameras, Electrons, Field effect transistors, Telescopes, Clocks, Filtering (signal processing), Astronomy
MIRAC2 was built for ground-based astronomy at Steward Observatory, University of Arizona and Harvard-Smithsonian Center for Astrophysics. It utilizes a Rockwell HF-16 128 X 128 arsenic-doped silicon blocked-impurity-band hybrid array with a wavelength range of 2 to 28 micrometers operating in a liquid helium-cooled cryostat at 5K. Reflective optics, and externally actuated detector and pupil slides provide a variety of magnification and focal ratio settings without opening the cryostat. Nominal settings at the NASA IRTF and UKIRT give diffraction-limited imaging with .34 and .27 arcsec/pixel, respectively. The sensitivity on the IRTF at 11.7 micrometers , 10 percent bandwidth filter, chop-nod, source in one beam, 1 sigma, one minute total time is 25 mJ/arcsec surface brightness and 43 mJy point source.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.