We describe methods to determine transfer functions for line edge roughness (LER) from the photoresist pattern through
the etch process into the underlying substrate. Both image fading techniques and more conventional focus-exposure
matrix methods may be employed to determine the dependence of photoresist LER on the image-log-slope (ILS) or
resist-edge-log-slope (RELS) of the aerial image. Post-etch LER measurements in polysilicon are similarly correlated to
the ILS used to pattern the resist. From these two relationships, a transfer function may be derived to quantify the
magnitude of LER that transfers into the polysilicon underlayer from the photoresist.1
A second transfer function may be derived from power spectral density (PSD) analysis of LER. This approach is
desirable based on observations of pronounced etch smoothing of roughness in specific spatial frequency ranges.
Smoothing functions and signal averaging of large numbers of line edges are required to partially compensate for large
uncertainties in fast-Fourier transform derived PSDs of single line edges. An alternative and promising approach is to
derive transfer functions from PSDs estimated using autoregressive algorithms.
KEYWORDS: Line edge roughness, Polymers, Diffusion, Data modeling, Optical lithography, Image quality, Systems modeling, Photoresist materials, Chemical analysis, Scanning electron microscopy
Line edge roughness (LER) and intrinsic bias of 193-nm photoresist based on two methacrylate polymers are evaluated over a range of base concentration. Roughness is characterized as a function of the image log slope of the aerial image, the gradient in photoacid concentration, and the gradient in polymer protecting groups. Use of the polymer protection gradient as a characteristic roughness metric accounts for the effects of base concentration. Results demonstrate that a methacrylate terpolymer exhibits an advantage over the copolymer resist by achieving lower roughness at smaller values for the polymer protection gradient, resulting in lower LER for patterning. Intrinsic bias is found to be a function of the concentration of base. Process window analysis demonstrates that a greater depth of focus can be achieved for resists with low intrinsic bias. However, a tradeoff in depth of focus with LER is found. Spectral analysis indicates resists with greater intrinsic bias exhibit greater correlation lengths. Systems with greater intrinsic bias demonstrate lesser roughness for patterned features, with a minimum roughness achieved at maximum intrinsic bias. Kinetics of deprotection are modeled to calculate the chemical contrast of each resist. Resists exhibiting the greatest chemical contrast are identified as materials that generate the least roughness.
A method is presented to determine a transfer function for line edge roughness (LER) from the photoresist pattern through the etch process into the underlying material, such as a polysilicon gate. The image fading technique was employed to determine the dependence of photoresist LER on the image-log-slope (ILS) of the aerial image. From this initial condition in resist, LER after the etch process was measured in polysilicon and related to the ILS used to pattern the resist. From these two relationships, a transfer function could be derived to quantify the magnitude of LER that transfers into the polysilicon under layer from the photoresist. A gate layer type film stack and a 193nm resist system were employed. Results demonstrated that photoresist LER did transfer through the etch process. Increasing the resist LER increased the post-etch LER in polysilicon, and accordingly, minimizing resist LER minimized polysilicon LER. The etch process can reduce the magnitude of roughness in polysilicon over a range of mid and low spatial frequencies, however the extent of the roughness reduction diminishes as the resist LER reaches its minimum at large values of the ILS. In addition, resist trim rates during etch were apparently increased when LER of the resist was large. These results demonstrate that post-etch LER in polysilicon may be limited by the minimum LER achievable in resist, despite the occurrence of apparent smoothing mechanisms through the etch process.
193nm immersion lithography might have to incorporate a top layer coat to prevent leaching and contamination. Additionally, immersion and future lithography will require lowering the photoresist thickness. It has been reported in literature that the diffusion coefficient of small acid molecules reduces as the resist thickness is reduced below 200 nm. The goal of this paper is to understand how, the use of a top coat, changing resist thickness and changing the substrate affect line edge roughness (LER). The study is conducted using dry 193 nm lithography. It was found that the use of a top coat helps to improve LER for 193 nm dry resist process. Improvement in LER with the use of top coat can be explained by a change in intrinsic bias of the resist. LER was also studied as a function of resist thickness, by changing resist thickness from 790 Å to 2200 Å. It was found that LER is a strong function of resist thickness. At thickness less than about 1300 Å, LER increases, with a more pronounced effect as resist thickness is decreased further. LER was also studied as a function of substrate. Two substrates, organic bottom anti-reflection coating (BARC) and an inorganic silicon oxynitride film (SiON), were used in the present study. For ultra-thin resist films, less than 1300 Å thick, it was found that the SiON substrate produced greater LER compared with the organic BARC substrate. The data compiled provides a fundamental understanding of LER behavior and will eventually help in better control of LER for future generation devices.
As line edge roughness (LER) becomes one of the critical lithography challenges, there is a growing interest in applying surface conditioner solutions during post-develop process to reduce LER. In this paper, we evaluated the combined effect of surface conditioners and hard bake on the post-develop LER. There is about 1nm LER reduction, as well as a significant improvement on the common process window for LER. No negative impact on CD process window was observed with the new process. In addition, preliminary etch data showed that surface conditioners have no negative impact on pattern transfer through etch.
Defect-free masks are one of the top issues for enabling EUV lithography at the 32-nm node. Since a defect-free process cannot be expected, an understanding of the defect printability is required in order to derive critical defect sizes for the mask inspection and repair. Simulations of the aerial image are compared to the experimental printing in resist on the wafer. Strong differences between the simulations and the actual printing are observed. In particular the minimum printable defect size is much larger than expected which is explained in terms of resist resolution. The defect printability in the current configuration is limited by the resist process rather than the projection optics.
The past two years has brought tremendous improvements in the crucial area of resists for extreme ultraviolet (EUV) lithography. Nested and isolated line resolutions approaching 30 nm and 25 nm, respectively, have been demonstrated. These advances have been enabled, in large part, by the high-numerical (0.3) EUV imaging capabilities provided by the Berkeley microfield exposure tool (MET). Here we investigate the resolution limits in several advanced EUV resists using the Berkeley MET. Comparisons to aerial-image performance and the use of resolution-enhancing illumination conditions are used to establish the fact that the observed pattern resolution in the best chemically-amplified resists available today are indeed resist limited. Moreover, contrast transfer function (CTF) techniques are used to directly compare various advanced resists. Strong correlation is observed between relative CTF performance and observed resolution limits.
We report on a method to produce any type of phase-shift masks for EUV lithography. We have successfully fabricated an unattenuated phase-shift mask consisting of phase patterns and confirmed the expected performance of such a mask through resist printing at λ=13.3 nm. Finally actinic metrology reveals that these etched-multilayer masks, left without a capping layer, tend to degrade over time.
Several masks have been fabricated and exposed with the small-field Micro Exposure Tool (MET) at the Advanced Light Source (ALS) synchrotron in Berkeley using EUV radiation at 13.5 nm wavelength. Investigated mask types include two different absorber masks with TaN absorber as well as an etched multilayer mask. The resulting printing performance under different illumination conditions were studied by process window analysis on wafer level. Features with resolution of 60 nm and below were resolved with all masks. The TaN absorber masks with different stack thicknesses showed a similar size of process window. The differences in process windows for line patterns were analyzed for 60 nm patterns. The implications on the choice of optimum mask architecture are discussed.
An aberration monitoring technique based on lateral shifts of two-wave interference patterns in centrally obscured optical systems is presented, and simulations are used to evaluate the performance of such a technique. The technique is being explored as a convenient means for monitoring the aberration level in the 0.3-NA Micro Exposure Tool (MET) optic over time. A binary mask was designed for observing phase differences across the MET optic on cut-lines at 0, 45, 90 and 135 degrees across the pupil. The mask consists of 5 line-and space patterns in a dark field that measure the side-to-side phase difference across the pupil at 7 equally spaced radial points extending from 35% to 95% of the pupil radius. For near on-axis illumination the blockage of the zero-order creates a two-wave, interferometric pattern at the wafer with half of the period expected under normal imaging conditions. The optical path difference between the two orders produces an image shift of one full period of the (frequency doubled) interference pattern per 360 degrees of side-to-side path difference. Shifts on the order of 5 to 20 nm are expected and are measured using a reference target of an array of 5 medium sized dots. Aerial image simulation is being utilized to predict the expected performance and to improve the initial design. The aberrations measured by interferometry are being used for this purpose. Also the quality of images at low partial coherence with the wavefront convergence present in the MET illumination is being studied. In addition to theory and simulation results, practical considerations in implementing this technique on actual lithography tools based upon MET-type optics are addressed, including pattern design, illumination characteristics, and data analysis.
Previous work has demonstrated the dependence of photoresist line edge roughness (LER) on the image-log-slope of the aerial image over a wide range of conditions; however, this relationship does not describe the influence of other factors such as photoresist composition or processing conditions on LER. This work introduces the concept of chemical gradients in the photoresist film rather than gradients in aerial image intensity as being a governing factor in the formation of photoresist LER. This concept is used to explain how differences in acid and base concentration in the photoresist lead directly to differences in observed LER. Numerous photoresist formulations were made over a wide range of compositions using 193 nanometer photoresist polymers as the basis. Experimental results coupled with results from simulation show that increasing the gradient of photoacid and hence increasing the gradient of protected polymer and the overall chemical contrast of the system reduces printed LER.
Three different architectures were compared as candidates for EUV lithography masks. Binary masks were fabricated using two different stacks of absorber materials and using a selective etching process to directly pattern the multilayer of the mask blank. To compare the effects of mask architecture on resist patterning, all three masks were used to print features into photoresist on the EUV micro-exposure tool (MET) at Lawrence Berkeley National Laboratory. Process windows, depth of focus, mask contrast at EUV, and horizontal and vertical line width bias were use as metrics to compare mask architecture. From printing experiments, a mask architecture using a tantalum nitride absorber stack exhibited the greatest depth of focus and process window of the three masks. Experimental results obtained using prototype masks are discussed in relation to simulations. After accounting for CD biasing on the masks, similar performance was found for all three mask architectures.
Photoresist patterning experiments on the EUVL Engineering Test Stand using two masks with different types of architecture indicate that etched-multilayer binary masks can provide larger process latitude than standard patterned absorber masks. The trends observed in the experimental data are confirmed by rigorous electromagnetic simulations taking into account the mask structure, the imaging optics characteristics and the illumination conditions.
Rigorous electromagnetic scattering simulation is used to characterize mask diffraction for fine structures of various types of EUVL masks. The Cr/SiO2 absorber mask, the etched multilayer mask and the new refilled multilayer mask are studied for lithography performance for line and space features for 32 nm node. The combined process window of 25 nm ISO line, 50 nm METAL1 line and 30 nm POLY line in a 90 nm pitch, are compared at s of 0.6. The biased Cr/SiO2 absorber masks have 182 nm DOF, while the biased etched binary mask has a higher DOF of 190 nm and the biased etched refilled binary mask has a DOF of 192 nm. The biased Cr/SiO2 absorber masks show twice of CD variation and process window degradation due to variations in sidewall profiles than the etched and refilled multilayer binary masks. The void defect in the reflection region of multilayer structures can be repaired via deposition of transparent materials instead of absorbing materials when patterning the refilled multilayer masks. Simulations show that target CD and process window can be fully restored when the depth and width of repairing materials deposited for repair is optimized.
A technique was developed to investigate the role of aerial image contrast and image-log-slope (ILS) on the resulting magnitude of line edge roughness (LER) in resist with the goal of determining if the minimization of LER in current state-of-the-art, chemically amplified resist materials was limited by the quality of the projected aerial image or the materials and processing of the resist. The process of image fading was employed as the vehicle for controlled aerial image degradation. By reducing the quality of the aerial image through fading, the image contrast and ILS were decreased in a well-controlled and predictable manner, resulting in increased magnitude of LER. The link between experiment and simulation was made possible by the identification of the iso-fading condition, which in analogy to the iso-focal dose, results in a unique exposure dose for which the critical dimension (CD) of a resist feature does not change with increasing levels of fading. At the iso-fading condition, experimentally measured values for LER were analyzed as a function of the contrast and ILS of the aerial image used for patterning. It was determined that contrast was a poor predictor of the magnitude of LER though variations in feature type or illumination. The change in LER as a function of the ILS, however, produced a common basis for the comparison of LER through variations in line width, pitch, fading, increased background level of light, and illumination conditions. To include the effects of exposure dose on the resulting LER of resist features, the experimentally measured analog of the ILS, the resist edge-log-slope (RELS), was also used to produce a common curve for the evaluation of resist LER. Although overexposure can be used to further increase the ILS of the aerial image at the edge of the printed feature, the magnitude of 3σ LER in PAR735 resist appeared to be limited to a value of approximately 5.0nm in the limit of infinite RELS. This suggested that while the aerial image plays a strong role on determining the magnitude of LER during resist printing, there also exists a fundamental limitation to LER from the resist materials that cannot be improved by further increase in the quality of the aerial image.
As critical dimensions in microlithography become ever smaller and the importance of line edge roughness becomes
more pronounced, it is becoming increasingly important to gain a fundamental understanding of how the chemical
composition of modern photoresists influences resist performance. Modern resists contain four basic components:
polymer, photoacid generator, dissolution inhibitor, and base quencher. Of these four components, the one that is least
understood is the base quencher. This paper examines the influence of base additives on line edge roughness, contrast,
photospeed, and isofocal critical dimension (CD). A mathematical model describing the tradeoff between contrast and
photospeed is developed, line edge roughness values for different base types and loadings are reported, and isofocal CD
is shown for various photoacid types as well as for different base types and loadings.
The impact of wafer and reticle anti-reflection coatings (ARCs) on the aerial image of ArF lithography scanners is measured using contrast curves and critical dimension (CD) analysis. The importance of a good ARC layer on the wafer appears to be greater than that of the reticle-ARC. In fact, for state-of-the-art lithography scanners, the influence of the reticle-ARC is practically undetectable. Numerical simulations are used to understand the relative contributions of the lens, the wafer and the reticle to the overall loss of contrast associated with non-optimized ARCs.
Extreme Ultraviolet Lithography (EUVL) is the leading candidate for manufacturing integrated circuits beyond the 45-nm technology node. The masks for EUVL are reflective and significantly different from current transmission masks for deep UV lithography. Many authors have demonstrated the patterning of EUVL masks using different types of absorber stacks that were deposited on top of the multilayer reflector. More recently, a new approach based on the etching of the multilayer reflector in order to define the mask pattern was proposed [2]. Using rigorous electro-magnetic simulations, it was shown that this subtractive approach could provide better process latitude, less H-V bias and smaller image-placement errors compared to the traditional masks based on the additive method. Even though the mask processing shows interesting challenges, this approach might offer immediate advantages over the more traditional patterning technique using the absorber stack, beyond those predicted for lithography imaging. These include the possibility to use optical inspection in transmission mode, which can provide the high-contrast images that are essential for high-sensitivity detection of small defects.
In this paper, we present the first results on the patterning of EUVL masks using the direct etching the EUVL multilayer reflector (Mo/Si type) to produce EUV binary masks. In particular, we show how the process parameters can be adjusted to control the pattern sidewall angle. We also present an analysis of the influence of this sidewall angle on lithography imaging, based on lithography simulations. Finally, we show results from the optical inspection of these etched-multilayer binary masks (EMBM).
The rate constants for acid generation (C parameter) in chemically amplified photoresist are determined for four photoacid generators (norbornene dicarboximidyl triflate, triphenyl sulfonium triflate, bis-4-t-butylphenyl iodonium perfluorooctane sulfonate, and bis-4-t-butylphenyl iodonium triflate) under exposure to 157nm radiation using a standard addition technique. The technique utilizes an in film neutralization of photogenerated acid by base quencher to determine the increase in exposure energy necessary to produce an equivalent fee acid concentration at each loading of base. We present a general model to interpret the data that also accounts for the strong absorption of radiation by the resist film. An average absorption coefficient of 13.2micrometers -1 (base e) has been measured at 157nm for these resist films. Results from 157nm irradiation are compared to deep ultraviolet and ionizing radiation, indicating that resist photochemistry at 157nm includes processes important to both energy regimes.
The differences in the dissolution behavior of novolac and poly(4-hydroxystyrene) (PHS) can be explained in terms of differences in the pKa of the two polymers. The proximity of the hydroxyl groups in ortho-ortho bonded novolac causes a large change in the pKa of the polymer as a function of the degree of ionization. The increased distance between phenolic sites in PHS attenuates this effect. Novolac and PHS samples have been titrated from basic to acidic conditions to determine the `apparent pKa' of each polymer as a function of degree of ionization, (alpha) . The turbidimetric endpoints show that novolac precipitates earlier in the titration than PHS. This differences provides an explanation for the `ortho-ortho effect' and the different inhibition efficiencies of these polymers.
The maturity and acceptance of top surface imaging (TSI) technology has been hampered by several factors including inadequate resist sensitivity and silylation contrast, defects and line edge roughness and equipment performance/reliability issues. We found that the use of a chemically amplified resist can improve the sensitivity by a factor of 1.5 - 2X, without compromising line edge roughness. While the post-silylation contrast of this chemically amplified material is poor ((gamma) < 1), the post-etch contrast is excellent ((gamma) >> 10) and the use of advanced silylation chemistries (disilanes) can further reduce the dose-to-size and increase the contrast. We have also demonstrated that using sulfur dioxide in the plasma etch process can improve the sidewall passivation of the resist lines, thus reducing the overall line edge roughness. Finally, we have been able to successfully use the TSI process to pattern deep sub-micron polysilicon and metal patterns.
Several approaches toward the design of non-chemically amplified deep UV resists have been reported using different photoactive compounds and resins. Diazo-dione chromophores are particularly attractive for this application, but the keto- ketenes derived from their photolysis are more reactive than those derived from the analogous diazonaphthoquinones. Specifically, the 30-diazo-4-ketocoumarin chromophore has been identified as a promising candidate around which to design a non-chemically amplified 248 nm resist. In order to optimize the design of this system, the influence of both electronic and steric influences on the rate of reaction of the ketene with novolac resin has been studied. These experiments were carried out using a low temperature matrix isolation FT-IR technique similar to that previously reported. The reaction of the ketene with the resin hydroxyl group follows pseudo first order kinetics and the rate constant is dependent on the substituents and the substitution pattern on the coumarin.
Resist function depends upon photoinduced changes in the dissolution rate of phenolic polymer films in aqueous base. The events that occur as the molecules in these films move from the glassy state into solution are not well understood. This paper presents an extensive study of the influence of developer composition and concentration on the dissolution rate of novolac films in aqueous base. The dissolution rate is shown to be a function of both the cation and anion composition and concentration in the developer at a constant pH. When salts are added to the base, the rate first increases, reaches a maximum, and then decreases with increasing salt concentration. In the concentration regime below the maximum, the rate increases linearly with cation concentration and is independent of anion composition. The dissolution of novolac is dependent on cation concentration and not ionic strength in this regime. In the concentration regime above the maximum, the composition of the anion has a marked influence on the rate.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.