PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Microlithographic difficulties in design shrinkage are commonly characterized by the k1 resolution factor, depth of focus, exposure latitude, and mask error enhancement factor (MEEF). Though the importance of exploring mask error enhancements under a range of process conditions and for various feature types is well understood, the MEEF theory embraces only simple features like isolated lines, dense lines, or contacts, with a single degree of mask distortion freedom. We introduce a generalized mask error enhancement theory that explores complex 2-D mask distortions. The error enhancement is described by the mask error enhancement matrix (MEEM) that transforms mask distortions into wafer damages. MEEM captures the complex effects of self- and cross-enhancements when neighboring mask features collectively contribute to wafer errors. The concept of generalized MEEF (or G-MEEF) is introduced. G-MEEF is a strictly defined, unambiguous measure of mask error amplifications. We introduce distortion constraints to establish the partial MEEF (P-MEEF) framework that reconciles G-MEEF with "global biasing," "local biasing," and other MEEF variations. Next, a singular value decomposition apparatus is used to conduct spectral analysis of MEEM. This theory is applied to realistic mask regions with complex shapes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Recently, requirements concerning overlay accuracy have become much more restrictive. For the accurate overlay, signal intensity and wave form from the topographical alignment mark have been examined by signal simulation. However, even if the results were in good agreement with actual signal profiles, it would be difficult to select particular alignment marks at each mask level by the signal simulation. Therefore, many mark candidates are left in the kerf area after mass production. To facilitate the selection, we propose a mark TCAD system. It is a useful system for the mark selection with the signal simulation performed in advance. In our system, the alignment mark signal can be easily simulated after input of some process material parameters and process of record (POR). The POR is read into the system and a process simulator makes stacked films on a wafer. Topographical marks are simulated from the stacked films and the resist pattern. The topographical marks are illuminated and reflected beams are produced. Imaging of the reflected beams through inspection optics is simulated. In addition, we show two applications. This system is not only for predicting and showing a signal wave form, but is also helpful for finding the optimum marks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
It has been a challenge for the lithography process to meet aggressive integrated circuit design rule requirements for 90 nm and upcoming 65 nm technology nodes under low-k1 patterning constraints. The geometric design rules are largely governed by numerical aperture (NA), illumination settings, and optical proximity correction (OPC) for any resolution enhancement technique-applied mask. A set of process feasible design rule criteria is explored based on state-of-the-art microprocessor chip that contains three different types of circuit design-standard library cell (SLC), random logic (RML), and static random access memory (SRAM). The critical design rule criteria to keep higher packing density for SRAM involve: achievable minimum pitch, sufficient area of contact-landing pad, minimum line-end shortening (LES) to ensure poly end-cap and preferably optimum pitch for placement of Scattering BarTM (SB). The goal is to achieve printing of ever-smaller critical dimension (CD) with greater CD uniformity control for RML. SLC should be designed with comparable criteria to both RML and SRAM devices. Hence, the design rule constraints for CD, space, line-end, minimum pitch and SB placement for SLC cell are critically confined. Unlike traditional method of assuming a linear scaling for the design rule set, achievable design rule criteria is explored for very low k1-imaging by simultaneously optimizing NA, illumination settings and OPC (for optimum placement of SB) for a calibrated process. This is done by analyzing CD uniformity control and maximum overlapped process window for critical lines, spaces and line-ends with the respective k1 factor for three types of circuits. A feasible set of design rules for 90 nm node with k1 as low as 0.36 can be obtained using 6% attenuated phase shift mask (attPSM) with 6% exposure latitude at 400 nm of overlapped depth of focus.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, the issue of intensity imbalance in an alternating phase shift mask has been studied for hole patterns with pitches 300 nm and below. A method of processing is developed, which would nullify the effects of phase errors that cause focus dependent difference in the sizes of holes belonging to opposite phases. This method uses two exposures with opposite foci. Using this method, the effect of the focus on the difference in the size of holes from opposite phases could be totally eliminated. It also changes the nature of the focus curve, bringing a significant improvement in the depth of focus without affecting exposure latitude and mask error enhancement factor. The method works quite effectively for all the via pitches, however, some constant size difference existed across focus, that is easily correctable by biasing one phase with respect to the other. It was also found that this technique could bring remarkable immunity against the lens aberrations such as defocus and astigmatism.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The double line and space (L&S) formation method with L&S masks and dipole illumination was found to have high capability to fabricate ~0.3 k1 contact hole (C/H) pattern. The procedure was as follows. The first L&S pattern was formed and was hardened to avoid the dissolution and mixing during the second resist coating. The second L&S pattern perpendicular to the first one was formed on the first resist pattern. The common space area of the two patterns became 1:1 C/H pattern. Simulation results showed that the double L&S formation method has much wider lithography latitude than other methods, such as single exposure of a C/H mask with quadrupole illumination, single exposure of a vortex mask with conventional illumination, and double exposure of L&S masks with dipole illumination to a single-layer resist. A 75 nm (0.30 k1) 1:1 C/H pattern was fabricated. An 80 nm (0.32 k1) 1:1 C/H pattern had 280 and 600 nm depth of focus in each resist layer at 8% exposure latitude. Moreover, a new method, in which a C/H mask replaces the L&S masks, is proposed to achieve cost reduction and the same high performance as the L&S masks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The images projected by the first vortex via masks, which comprised arrays of closely spaced dark spots that could pattern contacts with critical dimensions smaller than a third of an exposure wavelength in negative photoresist, showed several unexpected anomalies. Under certain conditions, the contact holes were elliptical (rather than round), displaced from their ideal locations, and had major axes oriented in directions that broke the expected symmetries. These effects have now been explained in terms of errors in the mask transmission and phase that give rise to unwanted Fourier components of the image combined with aberrations in the projection lens. Both effects must be present to break all the pattern symmetries. Distortions can be controlled by setting the numerical aperture of the projection lens to filter out four of the nine Fourier components and by proper design of the reticle.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A method for measuring quantitative resistance of incomplete contact holes in ultralarge scale integrated devices—which uses the brightness of voltage contrast in scanning electron microscope (SEM) images—was proposed. The voltage contrast between a contact hole and the surrounding SiO2 surface was observed by both high and low electron-beam-current SEMs and compared with the resistance of that contact hole measured by a nanoprober. The relationship between the SEM-image brightness and the contact-hole resistance was analyzed theoretically by voltage-contrast simulation based on time-differential equations. It was found that the brightness, within 0〈log(RIp)<3, is proportional to log(RIp), where R is the contact resistance and Ipis the irradiating electron-beam current. It is thus concluded that resistance of incomplete contact holes can be determined quantitatively by utilizing the relationship between SEM-image brightness and the contact-hole resistance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The low energy electron beam proximity projection lithography (LEEPL) system consists of three properties: low energy electron beam, a parallel beam, and proximity projection. The low energy electrons increase the effective resist sensitivity and greatly minimize the proximity effect. Over a 20 µm depth of focus is achieved by the parallel beam on the proximity projection. The subdeflection system of the LEEPL system is useful in correcting the mask distortion and chip distortion on the wafer by a correction data map corresponding to the field, because of the space (>30 µm) between the wafer and the mask. The overlay accuracy of the machine itself is less than 14 nm and that of mix and match is less than 25 nm. This implies that the overlay between the LEEPL system and an ArF scanner in both the x and y directions are obtained. This machine shows the 48 nm Φ CH resist patterns as the ultimate resolution. The cost of ownership (CoO) of the LEEPL system for a 65 nm node device will be approximately less than $25/wafer/layer and the value is lower than that of an ArF scanner.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Imaging interferometric lithography (IIL) employs the resolution enhancement technique (RET) of off-axis illumination (OAI) to overcome the spatial frequency resolution limitations that are imposed by the band limitedness of a conventional optical lithography system. IIL improves upon the aerial image resolution of a conventional optical lithography system by providing a wavelength division multiplexing approach toward the attainment of the maximum spatial frequency coverage of 2/λ, which is independent of the numerical aperture of the optical system. IIL combines OAI, which provides access to higher spatial frequencies of the mask, with multiple exposures and several different configurations of the polarization parameters, the frequency parsing parameters, and the exposure energy ratios are possible. Although experiments detailing the resolution enhancement capabilities of IIL in isolated parameter settings exist, a unified approach toward parameter optimization in IIL that would enable automated software for TCAD is presently lacking. With the objective of providing a framework for parameter optimization in IIL, we propose in this paper, an approach that encompasses: (a) polarization diversity in the different on-axis or off-axis exposures of IIL, (b) an aggregate error metric for evaluating aerial image quality, (c) a block-based optimization process that incorporates the proposed error metric on representative, smaller blocks of the mask, (d) an optimization process that determines the optimal parameter settings for the entire mask using the lessons learned from the blocks. This framework for optimization of parameters in IIL enables comparison of IIL with other contemporary RETs and also enables the study and analysis of hybrid approaches such as combinations of IIL with optimal proximity correction. Simulation results that demonstrate the efficacy of the IIL-based approach and reinforce its capabilities as a viable RET for subwavelength optical lithography are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We present a mathematical model of the surface relief formation suffered by photographic emulsions when an intensity pattern is recorded. Traditional explanations consider that this relief is due to silver compounds, but mainly to a mass transfer process in presence of tension forces. According to this description, the model considers diffusion and smoothing processes. Main parameters of the model were obtained by fitting simulated profiles to measured profiles for different micro-optical elements. The error between simulated and measured profiles ranged from 2.6% to 7.3%. Results obtained with this model reinforce the hypothesis of the surface relief formation. This model may be useful in numerical simulations of surface relief micro optical elements.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper presents design, fabrication, and performance testing of an innovative, laser machined structure to act as a microgripper. The proposed design constitutes of a pair of identical, cascaded actuation structures oriented in a face-to-face direction, to act as microtweezers. Each microactuator consists of five actuation units joined together horizontally in a consecutive order to build the cascaded structure. The actuation unit incorporates an internal constrainer and two semi-circular-shaped actuation beams. The actuation principle is based on the electro-thermal effect. On application of electrical potential at the backends, the conductive, geometrically complex structure of the microgripper produces non-uniform resistive heating and uneven thermal expansion generating tweezing displacements and force through a cumulative effect of all the individual actuation units within the cascaded microactuators. High-precision laser micromachining process was employed in the fabrication of the copper and nickel-based prototype microgrippers with overall dimension of 1.4 mm(L)×2.8 mm(W) and with relative accuracy within 1%. The geometrical parameters of the prototypes were evaluated in terms of accuracy and precision to demonstrate the fabrication capabilities. Challenges involved and the solutions to develop functional microparts with high aspect ratio (dimensional) with respect to the local elements and overall dimensions were described. The performance of the two microgripper prototypes was analyzed and compared. These microgrippers are useful in micromanipulating and microhandling operations for micro-electro-mechanical systems, biological, medical, chemical, and electro-opto-mechanical engineering applications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The in-plane motion of microelectrothermal actuator ("heatuator") has been analyzed for Si-based and metallic devices. It was found that the lateral deflection of a heatuator made of a Ni metal is about ~60% larger than that of a Si-based actuator under the same power consumption. Metals are much better for thermal actuators as they provide a relatively large deflection and large force, for a low operating temperature and power consumption. Electroplated Ni films were used to fabricate heatuators. The electrical and mechanical properties of electroplated Ni thin films have been investigated as a function of temperature and plating current density, and the process conditions have been optimized to obtain stress-free films suitable for microelectromechanical systems applications. Lateral thermal actuators have been successfully fabricated, and electrically tested. Microswitches and microtweezers utilizing the heatuator have also been fabricated and tested.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We demonstrate fabrication of silicon microring resonators with narrow coupling gaps using electron-beam lithography followed by lift-off process. Microring resonators of different diameters and 58 nm coupling gaps are fabricated in a silicon-on-insulator water. These devices are then characterized using a tunable laser source. For the microring resonator with a diameter of 7.5 µm, the measured maximum transmission is 88%, the free spectra range is 25 nm, the finesse is 28, and the Q factor is 1715.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In Dip Pen NanolithographyTM (DPNTM) ultrasharp tips coated with chemical compounds (or "ink") are in contact with a surface to produce submicron sized features. There is a need to deliver multiple inks to an array of closely spaced tips (or "pens"). This work demonstrates the design optimization, fabrication process development, process optimization, and testing of a microfluidic ink delivery apparatus (called "inkwells") for simultaneously coating an array of DPN pens with single or multiple inks. The objective of this work is to deliver between four and ten different inks from reservoirs into an appropriately spaced microwell array. The tips of the multipen array are coated with the same or different inks by dipping them into the microwell array. The reservoirs, microwells, and their connecting microchannels were etched in silicon wafers using deep reactive ion etching. Fluid actuation was achieved by capillary flow (wicking). The optimum layouts for different applications were selected with respect to the volume requirement of different inks, the efficacy of ink-well filling, prevention of bubble formation, and the ease of operation (such as dipping and writing) with a parallel array of pens.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, we report on the deposition of nonplanar SiO2 and SiNx dielectric layers using plasma-enhanced chemical vapor deposition (PECVD), radio frequency (rf) sputtering and reactive rf sputtering through metal and dielectric shadow masks. The layers were analyzed for trends in deposition rate and curvature as a function of shadow mask material and geometry, as well as the deposition method. Increasing the top hole diameter, which is the limiting aperture of the shadow mask cavity, increased the rate of deposition inside the cavity, but it also decreased the curvature of the resulting aspheric microlens. As the height of the shadow mask cavity was increased, both the cavity deposition rate and microlens curvature increased. An alumina shadow mask created microlenses with higher deposition rates and more curvature than a nickel shadow mask for the three deposition methods. SiO2 deposited by PECVD produced aspheric microlenses with the most curvature, whereas rf sputtering SiO2 produced microlenses with the highest process efficiency. These results could be exploited to produce single or multilayer dielectric films for use in focal-plane arrays of microlenses, light-emitting diode encapsulations or curved Bragg mirrors for vertical-cavity surface-emitting lasers.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The goal of nanofabrication capabilities that can routinely achieve dimensions of less than 32 nm will require the design of new photopolymers and strategies using wavelengths as short as 13 nm [extreme ultraviolet (EUV)]. Although EUV lithography is a challenging emerging technology that has proven its feasibility to smaller image features, yet it still requires novel photoresists. This communication discusses developments in the synthesis and lithographic performance of positive chemically amplified photoresists incorporating hydroxystyrene and a bulky adamantly protecting group. The incorporation of an ionic PAG unit, phenyl methacrylate dimethysulfonium triflate (PAG), in the resist backbone showed increased sensitivity compared with the analogous blend PAG resist samples. Sub-50 nm patterns were obtained upon extreme UV exposure on ultrathin single layer resist films of the newly synthesized polymer bound PAG resist, poly (4-hydroxystyrene-co-2-ethyl-2-adamantyl methacrylate-co-PAG).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.