PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Special Section on Extreme Ultraviolet Lithography for the 3-nm Node and Beyond
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Over the recent years, extreme ultraviolet (EUV) lithography has demonstrated the patterning of ever-shrinking feature sizes (enabling the N7 technology node and below), whereas the EUV mask has remained unaltered, using a 70-nm tantalum (Ta)-based absorber. This has led to experimentally observed mask three-dimensional (M3D) effects at the wafer level, which are induced by the interaction between the oblique incident EUV light and the patterned absorber with typical thickness values on the order of several wavelengths. We exploit the optical properties of the absorber material of the EUV mask as an M3D mitigation strategy. Using rigorous lithographic simulations, we screen potential single-element absorber materials for their optical properties and optimal thickness for minimum best focus variation through pitch at the wafer level. In addition, the M3D mitigation by absorber material is evaluated by process window comparison of foundry N5-specific logic clips. To validate the rigorous simulation predictions and test the processing feasibility of the alternative absorber materials, we have selected the candidate single elements nickel and cobalt for an experimental evaluation on wafer substrates. We present the film characterization as well as the first patterning tests of these single-element candidate absorber materials.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Actinic mask defect inspection is an essential process step for the implementation of extreme ultraviolet (EUV) lithography in high-volume manufacturing. The main challenges for any mask defect inspection platform are resolution, sensitivity, and throughput. The reflective-mode EUV mask scanning lensless imaging microscope (RESCAN) is being developed to provide actinic patterned mask inspection capabilities for defects and patterns with high resolution and high throughput for node 7 and beyond. Namely, the goal of the RESCAN project is to develop a tool capable of inspecting an EUV reticle in 7 h and detect mask defects down to a size of 10 nm×10 nm. The lensless imaging concept allows overcoming the resolution limitations due to the numerical aperture and lens aberrations of conventional mask imaging systems. With the increasing availability of computational power and the refinement of iterative phase reconstruction algorithms, lensless imaging became a powerful tool to synthesize the complex amplitude of the reticle image providing us also with extremely valuable information about phase and mask three-dimensional effects. Here, we present a brief description of the current prototype of the RESCAN platform and illustrate a few experimental examples of programmed defect detection.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The change from isomorphic to anamorphic optics in high numerical aperture extreme ultraviolet scanners necessitates changes to the mask data preparation flow. The required changes for each step in the mask tape out process are discussed, with a focus on optical proximity correction (OPC). When necessary, solutions to new problems are demonstrated and verified by rigorous simulation. Additions to the OPC model include accounting for anamorphic effects in the optics, mask electromagnetics, and mask manufacturing. The correction algorithm is updated to include awareness of anamorphic mask geometry for mask rule checking. OPC verification through process window conditions is enhanced to test different wafer scale mask error ranges in the horizontal and vertical directions. This work will show that existing models and methods can be updated to support anamorphic optics without major changes. Also, the larger mask size in the Y direction can result in better model accuracy, easier OPC convergence, and designs that are more tolerant to mask errors.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The exploration and optimization of image formation in partially coherent EUV projection systems with complex source shapes requires flexible, accurate, and efficient simulation models. This paper reviews advanced mask diffraction and imaging models for the highly accurate and fast simulation of EUV lithography systems, addressing important aspects of the current technical developments. The simulation of light diffraction from the mask employs an extended rigorous coupled wave analysis (RCWA) approach, which is optimized for EUV applications. In order to be able to deal with current EUV simulation requirements, several additional models are included in the extended RCWA approach: a field decomposition and a field stitching technique enable the simulation of larger complex structured mask areas. An EUV multilayer defect model including a database approach makes the fast and fully rigorous defect simulation and defect repair simulation possible. A hybrid mask simulation approach combining real and ideal mask parts allows the detailed investigation of the origin of different mask 3-D effects. The image computation is done with a fully vectorial Abbe-based approach. Arbitrary illumination and polarization schemes and adapted rigorous mask simulations guarantee a high accuracy. A fully vectorial sampling-free description of the pupil with Zernikes and Jones pupils and an optimized representation of the diffraction spectrum enable the computation of high-resolution images with high accuracy and short simulation times. A new pellicle model supports the simulation of arbitrary membrane stacks, pellicle distortions, and particles/defects on top of the pellicle. Finally, an extension for highly accurate anamorphic imaging simulations is included. The application of the models is demonstrated by typical use cases.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Mask three-dimensional (3D) effects in extreme ultraviolet (EUV) lithography critically influence pattern transfer such as horizontal-vertical bias and/or CD shift. Although the mask 3D effects can be reduced using a thin film absorber, the current Ta-based absorber reaches its thin film limit at <60 nm for both binary and phase-shift type usages. To improve mask 3D effects for future EUV lithography production, the absorber material and film stack should be reconsidered. We investigated two types of thin film structure as a binary type absorber. One is a high-k type absorber. We selected nickel which has been proposed as one of the thin absorber solutions. Nickel enables less than 2% peak reflectivity at 30-nm thickness due to its high absorption. The other is a multilayer (ML)-type absorber. This type of absorber cancels out reflection from each interface, and thus low reflectivity is achieved. In the case of Ta/Si ML absorber, ≤2% reflectivity can be expected at the 39-nm film thickness.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We report a series of studies aimed at shedding more light on the development mechanism of zirconium (Zr)-based extreme-UV hybrid photoresists. In earlier works, our group demonstrated that Zr-based hybrid resists are capable of resolving 30-nm half-pitch features with a very high sensitivity in the range of 1 to 20 mJ/cm2, which renders these materials potential candidates in the area of nonchemically amplified inorganic resists. While attractive because of its high sensitivity, Zr-methacrylic acid suffers from scumming problems. In an effort to better understand what controls sensitivity and scumming phenomena, we employed a combination of analytical techniques (electrospray ionization mass spectrometry, x-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy) to study the patterning mechanism in detail, to be able to optimize the development process and develop systems with optimal features.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An extreme ultraviolet (EUV) pellicle is needed for the protection of EUV masks from defects, contaminants, and particles during the exposure process. However, the EUV pellicle can be easily deformed during the exposure process because it has an extremely thin thickness for high transmission of EUV lights. Due to the very thin thickness and the weak structure of the pellicle, a pellicle is easily deformed; a wrinkled pellicle causes an image distortion, which leads to critical dimension (CD) variation. In addition, a particle defect on an EUV pellicle can result from scanner building materials. Added materials of the particle defect on an EUV pellicle can also cause image distortion and CD variation. We investigated the impact of wrinkles and particle defects on the transmission and CD variation for the 5- and 3-nm nodes of isomorphic and anamorphic numerical aperture (NA) systems. The variation in transmission and the critical size of the particle defect with a wrinkled EUV pellicle were calculated to obtain the requirement of a CD variation of 0.2 nm for a EUV pellicle. As a result, a change in transmission of 1.9% (after two pass) resulted in a 0.2-nm variation in the CD for the anamorphic NA system (3-nm node), whereas a transmission variation of 1.3% (after two pass) caused a 0.2-nm CD variation in the isomorphic NA system (5-nm node). From these results, an allowable local tilt angle can be calculated; the allowable local tilt angle of an isomorphic NA system is 0.31 rad and that of an anamorphic NA system is 0.41 rad. When the particle defect is added on a wrinkled EUV pellicle, the critical size of the particle defect is 1.2 μm for the 5-nm node and 2.2 μm for the 3-nm node.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Laser-produced plasma (LPP) EUV sources have demonstrated ∼125 W at customer sites, establishing confidence in EUV lithography (EUVL) as a viable manufacturing technology. However, for extension to the 3-nm technology node and beyond, existing scanner/source technology must enable higher-NA imaging systems (requiring increased resist dose and providing half-field exposures) and/or EUV multipatterning (requiring increased wafer throughput proportional to the number of exposure passes). Both development paths will require a substantial increase in EUV source power to maintain the economic viability of the technology, creating an opportunity for free-electron laser (FEL) EUV sources. FEL-based EUV sources offer an economic, high-power/single-source alternative to LPP EUV sources. Should FELs become the preferred next-generation EUV source, the choice of FEL emission architecture will greatly affect its operational stability and overall capability. A near-term industrialized FEL is expected to utilize one of the following three existing emission architectures: (1) self-amplified spontaneous emission, (2) regenerative amplifier, or (3) self-seeding. Model accelerator parameters are put forward to evaluate the impact of emission architecture on FEL output. Then, variations in the parameter space are applied to assess the potential impact to lithography operations, thereby establishing component sensitivity. The operating range of various accelerator components is discussed based on current accelerator performance demonstrated at various scientific user facilities. Finally, comparison of the performance between the model accelerator parameters and the variation in parameter space provides a means to evaluate the potential emission architectures. A scorecard is presented to facilitate this evaluation and provides a framework for future FEL design and enablement for EUVL applications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Current extreme ultraviolet (EUV) projection lithography systems exploit a projection lens with a numerical aperture (NA) of 0.33. It is expected that these will be used in mass production in the 2018/2019 timeframe. By then, the most difficult layers at the 7-nm logic and the mid-10-nm DRAM nodes will be exposed. These systems are a more economical alternative to multiple-exposure by 193 argon fluoride immersion scanners. To enable cost-effective shrink by EUV lithography down to 8-nm half pitch, a considerably larger NA is needed. As a result of the increased NA, the incidence angles of the light rays at the mask increase significantly. Consequently, the shadowing and the variation of the multilayer reflectivity deteriorate the aerial image contrast to unacceptably low values at the current 4× magnification. The only solution to reduce the angular range at the mask is to increase the magnification. Simulations show that the magnification has to be doubled to 8× to overcome the shadowing effects. Assuming that the mask infrastructure will not change the mask form factor, this would inevitably lead to a field size that is a quarter of the field size of the current 0.33-NA step and scan systems and reduce the throughput (TPT) of the high-NA scanner to a value below 100 wafers per hour unless additional measures are taken. This paper presents an anamorphic step and scan system capable of printing fields that are half the field size of the current full field. The anamorphic system has the potential to achieve a TPT in excess of 150 wafers per hour by increasing the transmission of the optics, as well as increasing the acceleration of the wafer stage and mask stage. This makes it an economically viable lithography solution.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The technology for extreme ultraviolet (EUV) lithography sources is maturing. Laser produced plasma (LPP) sources with usable power >100 W have been used in high-volume manufacturing (HVM) applications, and 250-W sources are expected to be introduced in HVM soon. However, a further increase of power and cleanness may benefit a powerful picosecond (ps) laser in the near-infrared and wavelength converted spectral region. The HiLASE Centre has been working in thin-disc laser technology and has demonstrated a 0.5-kW platform Perla-C based on a very compact Yb:YAG regenerative amplifier. 100-kHz ps operation has been achieved with a fundamental spatial mode and excellent long-term pointing and energy stability. It is reported on a thin-disc-based ps Yb:YAG solid-state laser technology platform Perla developed in the Czech Republic and the present performance of delivering >4 mJ, <2-ps pulses at a 100-kHz repetition rate with the potential to be upgraded to 1 kW of average power and 1-MHz pulse repetition rate. The ps laser extendibility is important for kW-class LPP sources and controlled free electron laser EUV sources in 10-kW power region.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Achieving high-throughput extreme ultraviolet (EUV) patterning remains a major challenge due to low source power; phase-shift masks can help solve this challenge for dense features near the resolution limit by creating brighter images than traditional absorber masks when illuminated with the same source power. We explore applications of etched multilayer phase-shift masks for EUV lithography, both in the current-generation 0.33 NA and next-generation 0.55 NA systems. We derive analytic formulas for the thin-mask throughput gains, which are 2.42× for lines and spaces and 5.86× for contacts compared with an absorber mask with dipole and quadrupole illumination, respectively. Using rigorous finite-difference time-domain simulations, we quantify variations in these gains by pitch and orientation, finding 87% to 113% of the thin-mask value for lines and spaces and a 91% to 99% for contacts. We introduce an edge placement error metric, which accounts for CD errors, relative feature motion, and telecentricity errors, and use this metric both to optimize mask designs for individual features and to explore which features can be printed on the same mask. Furthermore, we find that although partial coherence shrinks the process window, at an achievable sigma of 0.2 we obtain a depth of focus of 340 nm and an exposure latitude of 39.2%, suggesting that partial coherence will not limit the feasibility of this technology. Finally, we show that many problems such as sensitivity to etch uniformity can be greatly mitigated using a central obscuration in the imaging pupil.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Stochastic effects in lithography are usually quantified through local CD variability metrics, such as line-width roughness or local CD uniformity (LCDU), and these quantities have been measured and studied intensively, both in EUV and optical lithography. Next to the CD-variability, stochastic effects can also give rise to local, random printing failures, such as missing contacts or microbridges in spaces. When these occur, there often is no (reliable) CD to be measured locally, and then such failures cannot be quantified with the usual CD-measuring techniques. We have developed algorithms to detect such stochastic printing failures in regular line/space (L/S) or contact- or dot-arrays from SEM images, leading to a stochastic failure metric that we call NOK (not OK), which we consider a complementary metric to the CD-variability metrics. This paper will show how both types of metrics can be used to experimentally quantify dependencies of stochastic effects to, e.g., CD, pitch, resist, exposure dose, etc. As it is also important to be able to predict upfront (in the OPC verification stage of a production-mask tape-out) whether certain structures in the layout are likely to have a high sensitivity to stochastic effects, we look into the feasibility of constructing simple predictors, for both stochastic CD-variability and printing failure, that can be calibrated for the process and exposure conditions used and integrated into the standard OPC verification flow. Finally, we briefly discuss the options to reduce stochastic variability and failure, considering the entire patterning ecosystem.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The thermo-optical optimization of extreme-ultraviolet pellicles for 3 nm node or smaller is described. Various material and multilayer structure candidates for the optimized pellicles are simulated using a finite element method. The result shows that a silicon-cored pellicle has relatively high transmission, whereas a graphene-cored pellicle shows relatively low stress compared with its material tensile strength.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Block copolymers (BCPs) can phase separate to form periodic structures with small spacings, making BCPs an attractive option for furthering the ability of optical lithography. Chemoepitaxy is a method of directed self-assembly (DSA) that uses preferential pinning stripes to guide the BCP. The periodicity of the underlayers pinning stripe compared to the periodicity of the BCP is defined as the density multiplication. Molecular dynamics simulations are used to explore the effect that density multiplication and pinning stripe position (PSP) have on the free energy difference between a defective and defect-free BCP film. For all defect orders, the highest free energies were obtained when a pinning stripe was located directly under or adjacent to the terminating block. At high-density multiplications, the defects were found to approach the free energy of the same defect on an unpatterned underlayer. For all density multiplications and PSPs, the free energy of defective films is significantly higher than that of defect-free films, suggesting that the presence of defects in experiments is likely due to kinetic entrapment of defects. Free energy initially increases with increasing defect size but was found to level off and even decrease for the largest defects in this work.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Chemoepitaxial guidance of block copolymer directed self-assembly in thin films is explored using a coarse-grained molecular dynamics model. The underlayers studied are 2× density multiplying line-space patterns composed of repeating highly preferential pinning stripes of various widths separated by larger, more neutral, background regions of various compositions. Decreasing the pinning stripe width or making the background region more neutral is found to increase the line edge roughness (LER) of the lines, but these conditions are found to give the straightest sidewalls for the formed lines. Varying these underlayer properties is found to have minimal effect on linewidth roughness. A larger pinning stripe causes the pinned line (PL) to foot (expand near the substrate), and a preferential background region causes the unpinned line (UPL) to undercut (contract near the substrate). A simple model was developed to predict the optimal conditions to eliminate footing. Using this model, conditions are found that decrease footing of the PL, but these conditions increase undercutting in the UPL. Deformations in either the PL or UPL propagate to the other line. There exists a trade-off between LER and the footing/undercutting, that is, decreasing LER increases footing/undercutting and vice versa.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
TOPICS: Photomasks, Clocks, Data communications, Logic, Data compression, Telecommunications, Digital electronics, Image compression, Computer architecture, Video compression
Multibeam electron beam systems will be used in the future for mask writing and for complementary lithography. The major challenges of the multibeam systems are in meeting throughput requirements and in handling the large data volumes associated with writing grayscale data on the wafer. In terms of future communications and computational requirements, Amdahl’s law suggests that a simple increase of computation power and parallelism may not be a sustainable solution. We propose a parallel data compression algorithm to exploit the sparsity of mask data and a grayscale video-like representation of data. To improve the communication and computational efficiency of these systems at the write time, we propose an alternate datapath architecture partly motivated by multibeam direct-write lithography and partly motivated by the circuit testing literature, where parallel decompression reduces clock cycles. We explain a deflection plate architecture inspired by NuFlare Technology’s multibeam mask writing system and how our datapath architecture can be easily added to it to improve performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Circuit design is driven to the physical limit, and thus patterns on a wafer suffer from serious distortion due to the optical proximity effect. Advanced computational methods have been recommended for photomask optimization to solve this problem. However, this entails extremely high computational costs leading to problems including lengthy run time and complex set-up processes. This study proposes a pixel-based learning method for an optimized photomask that can be used as an optimized mask predictor. Optimized masks are prepared by a commercial tool, and the feature vectors and target label values are extracted. Feature vectors are composed of partial signals that are also used in simulation and observed at the center of the pixels. The target label values are determined by the existence of mask polygons at the pixel locations. A single-hidden-layer artificial neural network (ANN) is trained to learn the optimized masks. A stochastic gradient method is adopted for training to handle about 2 million samples. The masks that are predicted by an ANN show averaged edge placement error of 1.3 nm, exceeding that of an optimized mask by 1.0 nm, and averaged process variation band of 4.8 nm, which is lower than that of the optimized mask by 0.1 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Currently, high χ block copolymers (BCPs) are being investigated as a method to extend optical lithography due to their ability to microphase separate on small size scales. Typically, BCPs with larger Flory–Huggin’s χ parameters are composed of more dissimilar homopolymers. However, having dissimilar blocks changes how BCPs interact with their guiding underlayers. Several BCPs are simulated annealing on chemoepitaxial guiding underlayers using a coarse-grained molecular dynamics model to explore the effect that either energetic asymmetry or density asymmetry in the BCP have on the pattern registration. It is found that in varying the background region composition four regimes can be found. Minor variations in pinning stripe width are shown to have little effect on the window where well-aligned vertical lamellae form. For BCPs without an energetic mismatch, incommensurate films have the largest window for well-aligned vertical lamellae. However, with an energetic mismatch, the defectivity has a more complicated dependence on film thickness. Two different mixed lamellae (ML) morphologies can form depending on the film volume fraction and the relative compressibilities of the two blocks. It is found that more preferential background regions can be used when the BCP is transitioning between the two ML morphologies. This transition volume fraction shifts for a density asymmetric BCP, likely due to a difference in compressibilities of the two blocks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
TOPICS: 3D modeling, Indium arsenide, Polarization, Data modeling, 3D acquisition, Metrology, 3D metrology, Optical fiber cables, Error analysis, Model-based design
Optical three-dimensional (3-D) nanostructure metrology utilizes a model-based metrology approach to determine critical dimensions (CDs) that are well below the inspection wavelength. Our project at the National Institute of Standards and Technology is evaluating how to attain key CD and shape parameters from engineered in-die capable metrology targets. More specifically, the quantities of interest are determined by varying the input parameters for a physical model until the simulations agree with the actual measurements within acceptable error bounds. As in most applications, establishing a reasonable balance between model accuracy and time efficiency is a complicated task. A well-established simplification is to model the intrinsically finite 3-D nanostructures as either periodic or infinite in one direction, reducing the computationally expensive 3-D simulations to usually less complex two-dimensional (2-D) problems. Systematic errors caused by this simplified model can directly influence the fitting of the model to the measurement data and are expected to become more apparent with decreasing lengths of the structures. We identify these effects using selected simulation results and present experimental setups, e.g., illumination numerical apertures and focal ranges, that can increase the validity of the 2-D approach.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper presents the fabrication, characterization, and simulation of microelectrode arrays system with tapered profile having an aluminum surface for dielectrophoresis (DEP)-based manipulation of particles. The proposed structure demonstrates more effective electric field gradient compared with its counterpart with untapered profile. Therefore, according to the asymmetric distribution of the electric field in the active region of microelectrode, it produces more effective particle manipulation. The tapered aluminum microelectrode array (TAMA) fabrication process uses a state-of-the-art technique in the formation of the resist’s taper profile. The performance of TAMA with various sidewall profile angles (5 deg to 90 deg) was analyzed through finite-element method numerical simulations to offer a better understanding of the origin of the sidewall profile effect. The ability of capturing and manipulating of the device was examined through modification of the Clausius–Mossotti factor and cross-over frequency (fx0). The fabricated system has been particularly implemented for filtration of particles with a desired diameter from a mixture of particles with three different diameters in an aqueous medium. The microelectrode system with tapered side wall profile offers a more efficient platform for particle manipulation and sensing applications compared with the conventional microelectrode systems.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An analytical model and numerical simulation for the performance of MEMS capacitive pressure sensors in both normal and touch modes is required for expected behavior of the sensor prior to their fabrication. Obtaining such information should be based on a complete analysis of performance parameters such as deflection of diaphragm, change of capacitance when the diaphragm deflects, and sensitivity of the sensor. In the literature, limited work has been carried out on the above-stated issue; moreover, due to approximation factors of polynomials, a tolerance error cannot be overseen. Reliable before-fabrication forecasting requires exact mathematical calculation of the parameters involved. A second-order polynomial equation is calculated mathematically for key performance parameters of both modes. This eliminates the approximation factor, and an exact result can be studied, maintaining high accuracy. The elimination of approximation factors and an approach of exact results are based on a new design parameter ( δ) that we propose. The design parameter gives an initial hint to the designers on how the sensor will behave once it is fabricated. The complete work is aided by extensive mathematical detailing of all the parameters involved. Next, we verified our claims using MATLAB® simulation. Since MATLAB® effectively provides the simulation theory for the design approach, more complicated finite element method is not used.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes the incorporation of nanotextured black silicon as an optical absorbing material into silicon-based micro-optoelectromechanical systems devices to reduce stray light and increase optical contrast during imaging. Black silicon is created through a maskless dry etch process and characterized for two different etch conditions, a cold etch performed at 0°C and a cryogenic etch performed at −110°C. We measure specular reflection at visible wavelengths to be <0.001% at near-normal incidence for both processes, whereas the total diffuse scatter is <3% and 1% for the cold and cryogenic processes, respectively. These surfaces exhibit less reflectivity and lower scatter than black velvet paint used to coat optical baffles and compare favorably with other methods to produce black surfaces from nanotextured silicon or using carbon nanotubes. We illustrate the use of this material by integrating a black silicon aperture around the perimeter of a deformable focus-control mirror. Imaging results show a significant improvement in contrast and image fidelity due to the effective reduction in stray light achieved with the self-aligned black aperture.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.