Paper
12 March 2008 Post-decomposition assessment of double patterning layouts
Author Affiliations +
Abstract
Pattern matching methods are examined as fast-CAD tools for full-chip across process window examination of postdecomposition double patterning layouts. The goal is to demonstrate the ability to anticipate lithographic weakness due to many sources. This includes the decomposition strategy itself, OPC of individual sub-layers, focus-exposure process window and illumination. This study is an intermediate step to using fast-CAD assessment tools within pattern decomposition algorithms to guide decisions based on lateral influences instead of rules. The accuracy of the pattern matcher as a method for hot spot detection is investigated, and a model relating coma match factors and edge movement is refined to have an R2 value of 0.95. The validity of the pattern matcher is explored by relating pre-OPC matches to post-OPC matches, and by showing that the lateral influence functions for Z0 and Z3 have high matches at distinct locations, implying that OPC which corrects for one of Z0 and Z3 will not necessarily correct for the other. The pattern matcher is run on a post decomposition layout and locations are identified with high variability under coma, and their sensitivity is verified with aerial image simulations. For one such example, a different split is made and the match factor drops by 55%.
© (2008) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Juliet Rubinstein and Andrew R. Neureuther "Post-decomposition assessment of double patterning layouts", Proc. SPIE 6924, Optical Microlithography XXI, 69240O (12 March 2008); https://doi.org/10.1117/12.772891
Lens.org Logo
CITATIONS
Cited by 26 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Monochromatic aberrations

Optical proximity correction

Double patterning technology

Lithography

Photomasks

Data modeling

Fourier transforms

Back to Top