PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
The introduction of Cu and low-k dielectrics in back-end-of- line processes has serious implications for lithography. Different low-k material shave different reflective properties and also the potential use of hard masks has consequences for lithography. Furthermore, depending on the integration scheme that is chosen, various issues for lithography and etch are showing up. While the first photo step is on a planar substrate, the second photo has to cover a topography. This can have large implications on CD uniformity and the amount of material left for the subsequent etch.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The flatness of the chuck on the stepper or scanner is critical to obtain good patterning performance especially in the sub quarter micron regime. In this study an attempt has been made to u7nderstand the flatness signature of the chuck by measuring the flatness of a super flat wafer in two different notch orientations and subtracting the signatures. If the chuck or the wafer were ideally flat then there would be no different in flatness signatures between the two orientations. However in practice difference was found as neither the chuck nor the wafer is perfectly flat. This difference could be used to obtain an understanding about the flatness signature on the scanner chuck itself. This signature could be used by equipment manufacturers as an additional method to measure chuck flatness so that only superior chucks are used for equipment that are being made for sub quarter micron lithography. The second part of this study consisted of finding out the effect of this flatness on the resulting CD on wafers. Wafers, with different flatness signatures, were exposed at different orientations and the CD variations were evaluated. All wafers showed improvements in the orientation of better flatness. For some wafers the improvements was significant but for others the result was close to the CD variation due to rework. This could be attributed to the inherent signatures on the wafers and how abrupt the change in flatness was. The wafer deformation factor was not analyzed for brevity as this would make the problem far more complex.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The lens heating focus correction on stepper is not good enough on the current procedure provided by ASML. It can only solve the wafer to wafer CD variation but not within wafer. It caused the CD at a wafer top and bottom is different. This is because there is no correction for lens heating if no special trigger while exposing within one wafer. In small UDOF process like 0.3 micrometers poly gate, CD is very sensitive to the focus drift. The within wafer lens heating effect cause the focus drift and worsen focus control. Even the lens heating correction factor has been optimized, it still suffers the poor within wafer CD uniformity issue. One tricky method, using of multi-image setting, to trigger the lens heating correction is proposed to improve the within wafer CD uniformity in this report. The poly gate CD uniformity on 0.3 micrometers production were improved from 25nm to 15nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A novel analysis methodology, for the breakdown and ranking of the different sources of line width variation, is presented. The method is applied both on the level of an individual wafer, and on multiple wafers in a batch. It enables evaluation of the exposure tool performance in relation to other contributors to line width variation. Separation of the contribution of the exposure tool component from other sources of line width errors, requires sufficiently dense and accurate sampling of the CD variation across a wafer, because of the statistical confidence needed in the budget breakdown analysis. These requirements are met by taking advantage of the excellent performance of Electrical Line Width Measurements in terms of repeatability and data acquisition speed. The exposure tool is found to be only responsible for a limited part of the total CD uniformity across the batch. The largest contributors are reticle and processing components at individual wafer level and the CD offset between the wafers at batch level. The resist process component is larger than expected due to a dominating swirl shaped artifact caus4ed by non optimal track performance. A refined analysis of the full wafer CD uniformity was focused on the systematic contributions from the H-V difference and scan direction. Both of these components appear to be only a small part of the intra die budget.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The alignment accuracy has become a big challenge in sub- micro technology, especially below 0.15um technology. Scanner induced alignment error like RICO effect or WICO effect are big problems for foundry fab overlay control. For improving scanner overlay performance, ASML has provided an overlay improving package, IOSc to solve these issues. IOSc package includes four major parts of hardware improvement. (1) Phase 3 reticle chuck proposes to improve image distortion and overlay. (2) Phase modulators for TTL and Athena propose to reduce RICO effect and WICO effect. (3) Continuous clamping wafer table proposes to minimize wafer distortion. (4) Wafer stage air shower proposes to improve the stage travel stability.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
It is expected that 193nm lithography will be introduced in front-end-of-line processing for all critical layers at the 100nm node, and possibly also for some layers at the 130nm node, where critical layers are required to have the lowest mask cost. These processes are currently being investigated at IMEC for CMOS logic applications. While the lithographic performance of 193 nm resists has improved significantly in the last year, most materials still have important processing issues that need further improvement. On one hand, the resists material itself suffers from for example poor dry etch resistance and SEM CD shrinkage. On the other hand, interaction with other materials such as SiON inorganic ARCs becomes more challenging in terms of footing behavior, adhesion, and line edge roughness. In this paper, the 193nm processing experience gained at IMEC will be outlined, as well as solutions for manufacturability. Front- end-of-line integration results will also be shown, mainly for gate applications. It will be demonstrated that currently several commercial resist are capable of printing 130nm gates within the +/- 10 percent CD tolerance, even after gate etch. The impact of line edge roughness will also be discussed. Finally, the feasibility of printing 100nm logic patterns using only binary masks has been demonstrated, including gate etch.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Spatial modeling methods to improve manufacturing implementation and evaluate process capability are described. The basic elements of the simulation environment are developed and applied to answer real world manufacturing questions on process transfer risks, exposure system quality and paths to improving manufacturing performance. The hidden value in process and tool characterization data is leveraged through predictive modeling techniques and the eventual ink of advanced modeling to process control on the manufacturing line is anticipated. This paper is written in survey fashion offering examples, suggestions and references for those interested in developing or improving a simulation infrastructure for lithographic manufacturing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The lithographic performance of a photoresist process is largely determined by details of the dissolution properties. Numerous methods have been used to obtain this information but most fall into two major categories: 1) a traditional dissolution rate monitor (DRM) which measures film thickness versus time for multiple exposure doses, and 2) 'Poor man's DRM' which deduces dissolution rates from measured film loss as a function of dose for several different development times. The traditional DRM method has several disadvantages including the relative complexity of the equipment. The Poor man's approach, while convenient, has difficulty with very high and very low dissolution rates, and cannot easily distinguish surface dissolution form bulk dissolution. This paper shows results with a simple approach based on off-line analysis of videotape of a developing wafer. This video DRM method offers several advantages including portability and low cost. The results are not strongly influenced by process variables involving experimental geometry, developer volume, or the immediate environment. The camera can view small areas and therefore the method appears to be applicable to micro stepper exposures. A detailed analysis of a 248nm process is given but the method is also applied to 193nm and 157nm resist processes at different locations.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this work, a new 2D resist silylation simulator called STIL II has been developed. This simulator extends the 1D methodologies used in the STIL simulator to two dimensions. The silylation process is modeled asa 2D initial boundary value problem, using Fick's Diffusion Equation to describe the diffusion of the silylating agent, which is then solved using in-house written Finite Element Analysis code. This model comprehends the balance of diffusion and reaction rates in the silylation process due to swelling of the resist film. The swelling effect itself, is modeled as a boundary movement problem with the boundaries, and therefore size, of each 2D element being modified in proportion to the silicon concentration in that region. The output of the STIL II simulator is then applied to previously published experimental dat. STIL II predictions agree well with mask center and mask edge silylation thickness experimental results for an I-line scheme. Silylation contrast has ben sued as an indicator to demonstrate the robustness of silylation processing to defocus effects.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Lithography simulators have become a standard tool in industrial and governmental research and development departments. IN contrast to the modeling approaches for the optical system and for the lithographic performance of i- line resists, there is still no consensus on the modeling of chemically amplified resist (CAR). Existing models differ in the description of the kinetics and the diffusion phenomena during post exposure bake and in the specification of the development rate. A modeling approach was established, that combines the light induced generation of photoacid, in- and out-diffusion of acid or base components, a generalized deprotection kinetics, Fickian and non-Fickian diffusion of resist components and an arbitrary development rate model. Existing models such as the effective acid model and a standard deprotection model for CAR can be considered as special cases of the implemented model. To evaluate the importance of certain options of the model and of the model parameters we have evaluated the performance of the model by comparing simulated CD data and resists profiles with experimental data.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In lithography simulation dissolution rate equations are used to map development rate to the resist latent image. This work examines the quality of fit of four rate equations to experimental dissolution data for a wide variety of different resists ranging from medium contrast i-line novolak/DNQ materials to the state-of-the-art 248nm and 193nm chemically amplified photoresists. Three of the rate equations are routinely used for modeling: the Mack rate equation, the Enhanced Mack rate equation, and the Notch rate equation. The fourth is the recently developed Enhanced Notch model. Although each class of photoresist can be fitted reasonably well by one of the conventional rate equations, the Enhanced Notch model yields the best fit to the experimental data in all cases.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Decreasing feature size implies increased sensitivity to statistical fluctuations which impact critical dimension uniformity and control. In a recent work Gallatin and Liddle presented equations which describe the basic processes leading to surface and line edge roughness in a chemically amplified resists. Retaining only the lowest order terms in what is inherently a very nonlinear problem they were able to derive a scaling law and other dependencies which show reasonable agreement with experimental data. Here the analysis of the same equations is extended and expanded to include the dominant nonlinear effects.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Spectroscopic ellipsometry has long been recognized as the technique of choice to characterize thin films and multilayers. In 1983, SOPRA developed the first commercial spectroscopic ellipsometer for research and development. Since this date, the wavelength range has been extended from visible to near IR, and far IR up to 18micrometers . For 193nm micro-lithography, deep UV option down to 190nm has also been developed and delivered more recently. Instrumentation for the next generation of VUV lithography at 157nm requires special optical setup since O2 and H2O are extremely absorbing below 190nm. A new system has been developed which works in to a purged glove box to reduce the oxygen and water contamination in the part per million range. The optical setup includes a pre monochromator in the polarizer arm to avoid photo bleaching. The wavelength range of the instrument is 140-700nm. The system works in rotating analyzer configuration to minimize the parasitic residual polarization. Ellipsometric and photometric measurements versus wavelength and angle of incidence can be performed. Scatterometric measurements can also be made. In this wavelength range, the samples are extremely sensitive to any surface contamination and surface roughness. It is why a grazing x-ray option has been added on the same instrument to provide a better picture of the analyzed samples. This paper presents in detail the new system with its two measurement methods, and including experimental results on resist, antireflective coatings and gate dielectrics for use in the field of micro-lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The impact of reticle critical dimension (CD) variations on wafer level CD Performance has been growing with the trend towards sub-wavelength lithography. Reticle manufacturing, CD specifications and qualification procedures must now take into account the details of the wafer fab exposure and prices conditions as well as the mask process. The entire pattern transfer procedure, from design to reticle to wafer to electrical result, must be viewed as a system engineering problem. In this paper we show how hardware and software tools, procedures, and analysis techniques are being developed to support the demanding requirements of the pattern transfer process in the era of 0.13 micron lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Several recent studies of the MEEF have identified that it is a function of print bias. These works have primarily studied the variation of MEEF for a fixed resist feature as the mask dimension is altered. An alternative way of studying the impact of bias is to use a fixed mask layout and change the exposure level. A Bossung plot shows the impact of exposure and focus on printed CD. An analogous plot is introduced which substitutes MEED for CD on the assumption that the target CD at each focus and exposure setting is the one realized on the Bossung plot for the correctly sized mask. Such a MEEFFEL can be constructed simply from three sets of FEM CD data, if an appropriate mask is available. Comparisons between the Bossung plot and the MEEFFEL plot allow understanding of the relationships between the MEEF response to focus/exposure variations and that of CD. An initial simulation study of line features on a binary mask reveals that MEEF is lowest where the resist feature exhibits iso-focal behavior. This behavior appears to be consistent through both pitch and nominal mask feature size.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Early insertion of ArF nm lithography will occur at the 130 nm node in 2001. Process development for the 100nm node will also occur this year. Both aggressive gate length reductions and minimum pitch design rules below 250nm present immediate challenges for the new ArF technology. Gate line widths will approach one half of the wavelength of the exposure system.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This study examines through simulation the effects of mask bias and illumination settings on the MEEF and process window of 180nm contact holes. Previous work has shown that application of a global mask bias of -40 or -60 nm collectively minimizes MEEF for 180 nm contacts of varying pitches printed simultaneously with binary mask or 6 percent transmittance attenuated phase shifting mask respectively. Simulations in the present work show that in addition to reducing MEEF, negative mask bias lowers sizing energy and reduces sidelobe formation in patterns printed with 6 percent AttPSM. However, increased film loss from dense contacts and slightly reduced process window also result from the use of negative mask bias. These drawbacks can be partly mitigated by optimizing the illumination parameters. Higher (sigma) , higher NA, and shorter wavelength of exposure all reduce or eliminate top loss and increase overall exposure latitude, while higher (sigma) also increases focus latitude at low NA. At higher NA, a tradeoff exists between lower MEEF with negative mask bias and loss of focus latitude with 6 percent AttPSM.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
DUV lithography for 130 nm features requires several resolution enhancement techniques for a robust manufacturing process. These include modified illumination, optical proximity correction and, eventually, phase shift masks. Illumination and mask enhancements improve aerial image quality and serve to maintain that improvement over a suitably larger range of defocus than would otherwise be obtained. The former technique expands process margins most effectively for only the semi-dense through dense pitches of lines and spaces. The more isolated features are unaffected by this approach, so common process latitude is reduced since the overlap of the individual windows is driven apart by the difference of sizing energies of the features. Scattering bars, which are sub-resolution assist features used in OPC for the more isolated features, offset this effect by inducing a diffraction pattern that is more similar to dense lines. The result is enhanced focus latitude for the individual feature and improved common latitude through increased overlap of process windows.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To print subwavelength dimension features in optical lithography, one must correct significant Optical Proximity Effects as well as some other process outcomes. Nowadays, different firms propose to Silicon Industry Optical Proximity Correction (OPC) software. This software may be either Rule-based or Model-based or a mixed of both methods. To have the most judicious choice to acquire one of them, we prepare a procedure of evaluation with both mask manufacturing interest and Silicon process concern. The first part of the work is a technical study. We evaluate the OPC generation step in terms of speed, used memory an size evolution of the databases. We add a qualitative evaluation of the correction quality after lithography simulation. The second part of the work is the manufacturability evaluation. The results of the layout, produced by suppliers are analyzed and compared in term of mask complexity, mask resolution and anomalies generated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Research into MEMS and Nanotechnology covers the range of feature dimensions form sub-millimeter to nanometer scales. It relies upon tools and processes for lithography and pattern transfer drawn largely but not exclusively from the silicon semiconductor industry. Optical lithography systems, particle beam nanowriter tools and x-ray sources may be regarded as the 'machine tools' for MEMS and Nanotechnology, each with their unique advantages and limitations. They are being exploited for R and D applications ranging from customized MEMS to vacuum microelectronics and novel nanotools such as electron micro columns and multiple tip scanning probe systems.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A development rate model for lithography simulation of extremely thick resist films is presented. Methods used in the extraction of lithographic modeling parameters for simulation packages such as PROLITH/2 are examined. The results account for hitherto little-considered aspects of the development process which, when implemented in the simulations, give good agreement with practical result. Effects examined include the variation in photoresist dissolution properties as a function of depth into the resist film and diffusion of the developer/resist in the spaces surrounding features. These refinements, which are particularly useful for MEMS and lithography, galvanoformung und abformung (LIGA) applications, can also be applied to conventional lithography in situations where the development models of commercially available software are unable to provide accurate results. The technique also provides a method for quantifying the effectiveness of mechanical, megasonic or ultrasonic during development.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Photolithography based on proximity printing offers a high throughput and cost effective patterning technology for production of for instance large area liquid crystal displays. The resolution of this technique is limited due to wave-optical effects in the proximity gap between the binary amplitude mask and the substrate. We can improve the resolution drastically by replacing the conventional photomask with a mask causing both amplitude and phase modulation of the illumination wave. We describe a wave- optical design procedure of such masks. The feasibility of the method is demonstrated by results from computer simulations and practical experiments. We show that for a 50 micron gap a 3 micron line/space pattern is resolved clearly for visible light illumination, whereas under conventional conditions the image is completely degraded. The proximity mask used in our experiments was fabricated by e-beam lithography with four height levels and two amplitude transmission values.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Lab-on-a-chip devices are currently being developed at the University of Wales, Bangor. These devices can be used to manipulate and characterize bio-particles suspended in a fluid medium . For precise operation, accurate fluidic transport within these devices is required, for example at channel junctions where flow rates or mixing must be controlled. We present a technique for the production of varying cross-section channels and fluidic manifolds by photolithographic exposure of greyscale masks. This technique is ideally suited to the rapid prototyping and production of lab-on-a-chip devices, since a single exposure system is both faster and simpler than other methods currently available.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A direct-write electron beam lithography system has been developed for use in conjunction with optical lithography for device fabrication. The electron beam lithography system comprises a scanning electron microscope to provide the electron beam, an automated pattern alignment system, for accurately aligning the electron beam with the features defined by optical lithography, and a dedicated pattern generator to control the electron beam during exposure. The system is primarily used for fabricating the gate electrodes in high electron mobility transistors which are the active components in monolithic microwave integrated circuits. The high frequency performance of these devices is determined by the size and cross-section profile in the top layers to reduce the gate resistance. The system is able to automatically align the gate electrodes by initially acquiring an image to accurately place the beam prior to exposure. Registration marks are not required and the system is able to automatically compensate for stage positioning errors and fabrication tolerances associated with the placement of the optically defined features.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A relatively little known form of photoresist coating for special applications of 3D structured wafer patterning by spray technology has been studied, specifically on the OnmiSpray coating technology developed by Electronics Vision Group Austria. Results of the present investigation confirm the superior uniformity of photoresist coating by spray technology on the high topography wafer structure compared to the conventional spin coating method, typically used on planar semiconductor wafer structure. Special attention is paid to the improvement of photoresist coverage on the convex corners of the 3D structure by rounding them off first in a TMAH solution. The integrated method offers an enabling technology for patterning of extensive topography typically required for a multitude of MEMS structures and designs, novel interconnect structures as well as advanced packaging applications. The method is simple, fast and low cost in comparison with other photoresist coating techniques available and capable of 3D structure patterning.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The use of image process integration to minimize the effect of lens aberrations on the micro lithographic imaging process is briefly surveyed. Examples show how field of curvature, focus and spherical aberrations can be minimized by using off-axis illumination and scattering bar OPC. Sub- resolution assist features redistribute the energy within the pitches' diffraction pattern, reducing the weighted average aberration. A demonstration of the use of scattering bars to balance the magnitude of aberrated diffraction orders to correct focus shifts of isolated to semi-isolated features is provided. This example shows the impact of symmetric aberrations on 100 nm images produced using off- axis 248 nm illumination, 0.7 numerical aperture and chrome less phase-shift mask for pitches of 260 nm, 300 nm, 350 nm, 400 nm, 500 nm, 600 nm, 1200 nm and 10000 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Even with increasing numerical apertures and decreasing wavelength sin optical lithography, the practical k1 factor used in IC fabrication will continue to decrease ever closer to the theoretical 0.25 limit. This paper presents result of a feasibility study on 0.11 micrometers imaging with dipole illumination on a 0.70 NA KrF tool using a binary mask. The obvious advantage of dipole illumination techniques is the strong enhancement of exposure latitude (EL) and depth of focus (DOF) for specific dense structures. However, there are also many drawbacks for other feature types and geometries. These must be either avoided or overcome. To deal with these drawbacks int eh best way, detailed knowledge of the unwanted effects is needed. This article deals with two categories of trade-offs that must be considered when applying dipole illumination.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper examines the control of optical aberrations in an advanced step-and-scan system operating at 157 nm wavelength that uses catadioptric projection optics. Optical lithography will need to operate at K-factors approaching 0.33 using 157 nm wavelength with extremely high numerical apertures.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
At present we are now approaching the 130 nm technology node, one that a few short years ago we were forecasting would be the end of optical lithography. However, although we are now at this node, we have to use many Resolution Enhancement Techniques to print the desired features. These techniques can provide us with processes that are manufacturable but some of the side effects are not tolerable. This paper will show how the use of off-axis illumination can provide solutions for dense patterning in advanced interconnect. We will also show how the very same techniques that provide the solutions for dense features, can cause problems for more isolated features. The work will show that we can not longer select one technique to provide a solution for advanced features. The work will show that we can no longer select one technique to provide a solution for advanced patterning, but instead have to consider the patterning as an imaging system with several components. The differences in pattern fidelity between features of different density may be what leads us to utilize non- optical lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The 157 nm lithography technology is supposed to become the system setup for the 100 nm respectively the 70 nm node. The first 157 nm Full-Field Scanner system is expected in 2002. Every currently evaluated optical design of such lithography systems makes a very intensive use of Calcium Fluoride as one of the few optical materials having the required transmittance at the F2 laser wavelength solely. Additionally the required further industrial production processes e.g. polishing and development of coatings are known from the 193 nm lithography where CaF2 is already in use. In this paper we report about R and D activities of the material development used for the high quality CaF2. Thus the main aspects on quality are discussed in detail.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
More than 1,000 units of KrF excimer laser steppers were already installed in semiconductor mass-production lines which require design rule of less than 0.15 micrometers . Higher NA lens compatibility, productivity and CoO become critical issues of KrF excimer laser stepper. Advanced 2kHz KrF excimer laser G20K/G21K offers the solutions for these three issues. Next generation excimer laser ArF has already finished the stage of principle demonstration and has moved to next level of practical inspection, such as stability, productivity, and economic efficiency. Gigaphoton 4kHz ArF, G40A, solved all of these issues. Furthermore sub-0.10 micrometers design rule region F2 laser has been examined at several organizations. In March, 2000, Komatsu successfully developed 2kHz F2 laser for catadioptric projection optics by the fund of NEDO. Gigaphoton is ready to fabricate G20F, 2kHz F2 laser based upon the result of NEDO research. ASET started new F2 laser lithography development program at Hiratsuka Research Center with collaboration of Nikon, Canon, Gigaphoton, Komatsu, and Ushio from April 2000, ending March 2002.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The simulation of photolithographic processes depends on accurate resist modeling parameters. In this paper we present an automated fitting procedure which can be applied to arbitrary combinations of experimental data and model parameters. The procedure is applied to a typical i-line process. The resulting models are evaluated with respect to their performance for the full set of experimental data. The correlation of model parameters with certain experimental data is discussed and an optimum automatic parameters extraction procedure for i-line resists is proposed. Finally, we evaluate the extracted parameters by comparing different simulated profiles with cross-section SEM pictures.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We report on the realization of the electron column modules for the SCALPEL HT/Alpha EPL systems, designed to demonstrate high wafer throughput at resolutions at and below 100 nm. We describe our highly modular setup of each electron optical component targeted at maximum flexibility and enabling a fast and smooth evolution towards higher throughput and resolution. By applying strict design and process rules we were able to set-up the complete production flow from the design, construction and manufacturing of the components of the ferrite/dielectric deflector based projection optics up to established qualification schemes within less than one year. Crucial for the overall tool performance is the timely availability of system alignment and metrology strategies. Here we adapt state-of-the-art techniques form light optical lens manufacturing to a maximum amount. We discuss our metrology and alignment approach based on aerial image analysis combined with extensive electron optical imaging simulations and present first theoretical and experimental sub-100 nm results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In order to increase the quality in manufacturing of future photon mask generations Schott Lithotec is brought in a brand new, much increased automatic laser inspection system into a new manufacturing line of photo mask blanks. It is in a position to detect additionally to the standard defect types further defect types like dim- and bright-chrome defects. The resolution of the system is less than 100 nm. With a quickly inspecting time per blank of less than three minutes and for the first time in the world used automatic SMIF-pod-handling this is a tool for the 100 percent final inspection in the manufacturing of photo mask blanks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, the direct ablation of polymer films of PMMA, PI, PC and K9 glass has been studied at wavelength of 193nm and 308nm. The ablation characteristics of microstructuring is mainly discussed and compared. The ablation qualities of PC, PMMA and K9 glass by ArF excimer laser are medium. Smooth surfaces and sharp edges with micron transverse resolution and submicron dep precision can be obtained by the ablation of PI at 308nm, and PI, PC at 193nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Distortion effect in optical proximity corrected (OPC) masks on wafer level image has been investigated using combined simulation of photomask patterning process and projection optical lithography. Unlike the previous simulation of optical proximity effect, which were based on ideal mask design, the simulation presented in this paper is based on distorted mask features. The mask feature distortion comes from simulation of electron beam lithography or laser scanning lithography. Proximity effects in e-beam lithography or laser direct write has been taken into account for the generation of mask features. The simulation has demonstrated that the OPC compensation features are significantly distorted at mask level. Such distortions have noticeable impact on the wafer level resist images.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes the development of a new conformal i- line BARC. With the advent of flash memory deices the topography can be greater than 0.5 micrometers . Maintaining CD control through the BARC etch step over such a high topography can be a challenge. In order to meet these needs, Brewer Science has developed a highly conformal, spin bowl compatible BARC with increased baseline etch rate. This new BARC exhibits excellent coverage on high topographies and thus reduces the need for over-etch due to its conformality , and also increase the throughput due to its higher etch rate. As the circuit density on the chip increases copper is being implemented as the metal of choice for interconnects to reduce line resistance in semiconductor devices. This paper also describes the development of an organic BARC for applications in dual damascene processing. Via first dual damascene processes used for copper integration requires materials which can provide anti-reflection properties as well as act as etch blocks by filling the vias. The dual damascene BARC reported in this paper exhibits excellent via fill properties to reduce resist thickness variations as well as provide anti-reflective and via etch block properties. This paper outlines the design, development, and performance characterization of the new i-line BARC platforms for both high topography as well as dual damascene applications in sub 0.35 (Mu) m i-line lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The semiconductor industry is investigating metrology methods and tools to ensure the high accuracy and stability required for chip making. Lithography equipment manufacturers are under constant pressure to provide in situ measurements that prevent wafer processing form slipping from the established parameters. This is especially true for DUV exposure tools utilizing excimer lasers with high repetition rates. Dose metrology is one of the key parameters for linewidth control in photolithography. This paper discusses current developments in dose metrology for 248, 193, and 157 nm wavelengths. Particular emphasis is placed on the methodology to support dose stability over the lifetime of the tool. Aspects of tool-to-self and tool-to- tool matching are examined in detail, as well as the implications of the mix-and-match use of lithography equipment. To ensure the long-term accuracy of present tools, strong cooperation is needed within the semiconductor industry from suppliers and end users; and beyond, from standards organizations and international consortia. This paper describes the tasks that have to be accomplished to sustain the dose metrology during the transition from the existing tools to future generations of optical micro lithographic tools.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A method allowing full or partial removal of the pronounced surface inhibition layer found in some thick resists is described. Removal of such surface inhibition layer allows the development step to proceed more smoothly thereby improving process control. This modification to the resist process is particularly applicable to thick resist applications such as grey-scale lithography for MEMS.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A method is presented for automatically adjusting the input parameters of a lithography simulator to more accurately match a given set of experimental conditions. Using contrast curves, swing curves or focus-exposure matrices, simulation parameters are automatically modified in a search to minimize the difference between the simulated results and the experimental data. The algorithms used are described, as well as their robustness and sensitivity to experimental noise. Results of these tuning procedures are presented and the tuned set of parameters is shown to give good quantitative agreement of simulation to experiment.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Manufacturable process windows for the small contact dimensions of the 100nm lithography generation are well beyond the capability of current 193nm resist and exposure tool processes. Even with next generation very high NA 193nm exposure tools, simulations indicate that these contact sizes are not obtainable with standard processing techniques. Therefore, we have investigated the feasibility of using a 193nm resist reflow technique to obtain small contact hole sizes. We have chosen the thin imaging system 2000 of ARCH Chemicals for investigation. This resist provides good process latitudes and excellent etch selectivity and has a much lower Tg compared to single layer 193nm resists. This work will show the impact of resist flow on Focus-Exposure windows, proximity-uniformity, CD- uniformity over the wafer and mask error factor. Additional experimental results will highlight profiles after oxide etch as well as process windows achievable with a 6 percent attenuated phase shift mask.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this work it is shown the possibility of the nano-size periodic structures forming on the surface of semiconductor monocrystals with low reflectivity by means of the intracavity laser processing. For this aim the special laser resonator has been designed, which allows to produce extremely narrow high-quality periodic surface structures, looking as equidistant parallel grooves, micro grids, systems of periodically located micro-craters, as well as nano-size structures of other form. The experiments have shown that this resonator makes it possible to fabricate such nano-size structures on the processed samples of monocrystals of germanium and silicon, which have the Fresnel reflectivity coefficient less than 40 percent.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
When considered from a spatial frequency standpoint, that attenuated phase shift mask and assist bar OPC perform functions that can be compared to frequency filtering. The combination of these two resolution enhancement techniques can be evaluated from this perspective and they can be optimized together to produce maximum desired effects. We will describe a simple method of RET analysis and introduce a new concept to assist bar OPC where non-zero transmission of the bar is allowed. Gray assist bar OPC can offer for significant control over imaging performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.