Presentation + Paper
22 February 2021 A study on various curvilinear data representations and their impact on mask manufacturing flow
Author Affiliations +
Abstract
Inverse Lithography Technology OPC (ILT) is going to play a critical role in addressing challenges of optical and EUV lithography as industry pushes towards advanced nodes. One major barrier in adoption of ILT was mask writer’s inability to efficiently write curvilinear patterns. With the introduction of multi-beam mask writers [1] [2], this barrier has been removed and widespread adoption of ILT is imminent. Traditionally, mask writers have accepted only trapezoidal inputs to the tool, though recent trends show that mask writers are adopting to newer formats which already reduce file size. However, as the ILT shape complexity and data volume increases further for 5nm nodes and beyond, the explosion of mask pattern data file size becomes a major concern. Therefore, there is a need for the industry to look towards other compact formats of data representation that will be capable of serving well for multiple generations of mask making. In this paper we will be comparing various curvilinear data representation schemes and their value in the curvilinear ILT based mask manufacturing flow. We will demonstrate that given the nature of curvilinear data, representing it using native curve formats has lot of value in terms of file size reduction for futuristic mask making flows. Same format may not be applicable for all type of features in the input mask. These options will be discussed. There is also a need to compare the value of such exotic representations with regular simplification approaches that reduce data volume using standard methods. We will make that comparison in the paper and discuss the extents/limits of all these techniques. Comparison of changes in simulated mask contours and wafer contours will also be made.
Conference Presentation
© (2021) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Sayalee Gharat, Bhardwaj Durvasula, Ravi Pai, Peter Buck, Sandeep Koranne, and Alexander Tritchkov "A study on various curvilinear data representations and their impact on mask manufacturing flow", Proc. SPIE 11613, Optical Microlithography XXXIV, 116130B (22 February 2021); https://doi.org/10.1117/12.2588374
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Manufacturing

Mask making

Semiconducting wafers

EUV optics

Extreme ultraviolet lithography

Optics manufacturing

RELATED CONTENT

EUV mask process specifics and development challenges
Proceedings of SPIE (July 28 2014)
EUV overlay strategy for improving MMO
Proceedings of SPIE (April 17 2014)
EUV photomask defects what prints, what doesn't, and what...
Proceedings of SPIE (October 23 2015)
Semiconductor foundry, lithography, and partners
Proceedings of SPIE (July 01 2002)
The magic of 4X mask reduction
Proceedings of SPIE (June 21 2006)
Masks For Sub - 0.5µm Optical Lithography
Proceedings of SPIE (January 01 1988)

Back to Top