Open Access Paper
24 September 2018 Front Matter: Volume 10775
Proceedings Volume 10775, 34th European Mask and Lithography Conference; 1077501 (2018) https://doi.org/10.1117/12.2513695
Event: 34th European Mask and Lithography Conference, 2018, Grenoble, France
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 10775, including the Title Page, Copyright information, Table of Contents, Author and Conference Committee lists.

The papers in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. Additional papers and presentation recordings may be available online in the SPIE Digital Library at SPIEDigitalLibrary.org.

The papers reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from these proceedings:

Author(s), “Title of Paper,” in 34th European Mask and Lithography Conference, edited by Uwe F.W. Behringer, Jo Finders, Proceedings of SPIE Vol. 10775 (SPIE, Bellingham, WA, 2018) Seven-digit Article CID Number.

ISSN: 0277-786X

ISSN: 1996-756X (electronic)

ISBN: 9781510621213

ISBN: 9781510621220 (electronic)

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time)· Fax +1 360 647 1445

SPIE.org

Copyright © 2018, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/18/$18.00.

Printed in the United States of America.

Publication of record for individual papers is online in the SPIE Digital Library.

00008_PSISDG10775_1077501_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model. A unique citation identifier (CID) number is assigned to each article at the time of publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online and print versions of the publication. SPIE uses a seven-digit CID article numbering system structured as follows:

  • The first five digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc. The CID Number appears on each page of the manuscript.

Authors

Numbers in the index correspond to the last two digits of the seven-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first five digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B…0Z, followed by 10-1Z, 20-2Z, etc.

Abaidi, Mohamed, 0P

Ache, Oliver, 0G

Albinus, Lars, 0F

Arnold, Jens, 0F

Bayle, Sébastien, 0K

Belissard, Jordan, 18

Besacier, Maxime, 19

Bichotte, Maxime, 16

Bidault, Laurent, 0S

Biyajima, Tsuneaki, xv

Blancquaert, Yoann, 07, 08, 19

Borisov, Vadim, 1A

Boudaa, F., 0A

Brandt, Pieter, 07

Bringoltz, Barak, 08

Browning, Clyde, 0K

Brueckl, Hubert, 0Y

Chartoire, J., 0A

Chaudhary, Narendra, 0R

Dansberg, Michel, 07

Dawson, G., 02

de Boer, Guido, 07

Delachat, F., 0A

Dietz, St., 17

Domann, G., 17

Ducoté, Julien, 0S

Egodage, Kokila, 0N

Ekinci, Y., 02

Erdmann, Andreas, 0I

Feick, Henning, 0W

Ferrario, Francesco, 0E

Figueiro, Nivea, 08

Finders, J., 0U

Fritsche, Silvio, 0F

Garetto, Anthony, 0N

Ger, Avron, 08

Gergaud, Patrice, 19

Gilgenkrantz, Pascal, 13

Göhler, Tim, 11

Groß, M., 17

Gusmini, Andrea, 0E

Habel, Steffen, 0F

Han, Runyuan, 0G

Han, Wooil, 13

Hasibi, Faegheh, 0X

Haslinger, Michael J., 0Y

Haupt, Ronny, 08

Hazart, Jérôme, 18

Heldt, G., 17

Heller, Marcel, 0W

Herrmann, Mark, 10

Holfeld, Christian, 11

Iessi, Umberto, 0E

Ishikawa, Hisako, xv

Jager, Remco, 07

Jourlin, Yves, 16

Kaiser, Dieter, 0W

Kampfe, Thomas, 16

Kandel, Daniel, 08

Kaspar, Corinna, 0I

Kazazis, D., 02

Kim, Stephen, 13

Kohmura, Kazuo, xv

Koret, Roy, 08

Korten, T., 17

Krome, Thorsten, 11

Kuchar, F., 03

Labbaye, Thibault, 08

Labbé, Stéphane, 18

Lakcher, Amine, 0S

Lam, Auguste, 0X

Landis, Stéfan, 07, 08

Larrañaga, Maialen, 0X

Laske, Frank, 0G

Le-Gratiet, Bertrand, 0S

Leserri, Andrea, 0E

Lindberg, F., 17

Linke, H., 17

May, M., 0A

McClelland, A., 02

McNamara, J., 0U

Meinecke, Ch., 17

Meisels, R., 03

Meusemann, Stefan, 10

Milléquant, Matthieu, 0K

Mitrach, Michael, 0F

Mitteramskogler, Tina, 0Y

Morgana, Nicolo, 0W

Mortini, Etienne, 0S

Mourier, Lucie, 08

Muehlberger, Michael, 0Y

Nesladek, Pavel, 11

Nguyen, Duy Duc, 0P

Okubo, Atsushi, xv

Onanuga, Temitope, 0I

Ono, Yosuke, xv

Ostrovsky, Alain, 0S

Pain, Laurent, 07, 08

vii

Proc. of SPIE Vol. 10775 1077501-7

Park, Minyoung, 13

Pastol, Anne, 0X

Peijster, Jerry, 07

Perraud, L., 0A

Philipot, Antoine-Regis, 0S

Pizzagalli, Amandine, 0C

Popescu, C., 02

Postnikov, Serguei, 0K

Pradelles, Jonathan, 07, 08

Quemere, P., 0A

Rademaker, Guido, 07, 08

Reche, Jérôme, 19

Reuter, D., 17

Rey, Stéphane, 08

Robinson, A. P. G., 02

Roeth, Klaus-Dieter, 0G

Roth, J., 02

Ruhm, Matthias, 0O

Sailer, Holger, 0I

Sanchez, Francisco, 08

Savari, Serap A., 0R

Schatz, Jirka, 0O

Scheible, Jürgen, 1A

Schiavone, Patrick, 0K, 0P

Schneider, Jens, 0W

Schotter, Joerg, 0Y

Schrittwieser, Stefan, 0Y

Schulz, Kristian, 0N

Schulz, St. E., 17

Sendelbach, Matthew, 08

Servin, Isabelle, 07

Seyfert, Jens, 0F

Shifrin, Michael, 08

Shoshi, Astrit, 0Y

Slot, Erwin, 07

Steenbrink, Stijn, 07

Steenhusen, S., 17

Steigerwald, Hendrik, 0G

Stephan, Mario, 0F

Tabbone, Gilles, 0N

Taneichi, Daiki, xv

Teyssedre, H., 0A

Theis, W., 02

Timmermans, F. J., 0U

Tortai, Jean-Herve, 0P

Triki, Faouzi, 18

Tsao, Min, 13

Ushkov, Andrei A., 16

Utzny, Clemens, 10

van Dijk, Leon, 0X

van Haren, Richard, 0X

van Lare, C., 0U

van Setten, E., 0U

Verrier, Isabelle, 16

Weisbuch, François, 0O

Wieland, Marco, 07

Wolfling, Shay, 08

Yeddulapalli, S. S., 0R

Conference Committee

Conference Chairs

  • Uwe F.W. Behringer, UBC Microelectronics (Germany)

  • Jo Finders, ASML Netherlands B.V. (Netherlands)

Conference Co-chairs

  • Brid Connolly, Toppan Photomasks, Inc. (Germany)

  • Chris Gale, Applied Materials GmbH (Germany)

  • Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

Program Chairs

  • Ines A. Stolberg, Vistec Electron Beam GmbH (Germany)

  • Andreas Erdmann, Fraunhofer-Institut für Integrierte Systeme und Bauelementechnologie IISB (Germany)

Program Co-chairs

  • Rolf Seltmann, GLOBALFOUNDRIES Dresden (Germany)

  • Daniel Sarlette, Infineon Technologies Dresden (Germany)

Program Committee

  • Albrecht Ehrmann, Carl Zeiss SMT GmbH (Germany)

  • Dave Farrar, Hoya Corporation (United Kingdom)

  • Reinhard Galler, EQUIcon Software GmbH Jena (Germany)

  • Rik Jonckheere, IMEC vzw (Belgium)

  • Izak Kapilevich, AMAT (United States)

  • Barbara Lauche, Photronics MZD GmbH (Germany)

  • Bertrand Le Gratiet, STMicroelectronics (France)

  • Harry Levinson, GLOBALFOUNDRIES (United States)

  • Hans Loeschner, IMS Nanofabrication GmbH (Austria)

  • Michael Muehlberger, Profactor GmbH (Austria)

  • Laurent Pain, CEA-LETI, Grenoble(France)

  • Jan Hendrik Peters, bmbg consult (Germany)

  • Chris Progler, Photronics Inc. (United States)

  • Klaus-Dieter Roeth, KLA-Tencor MIE (Germany)

  • Serap Savari, Texas A&M University (United States)

  • Thomas Scheruebl, Carl Zeiss SMT GmbH (Germany)

  • Ronald Schnabel, VDE/VDI-GMM (Germany)

  • Steffen Schulze, Mentor Graphics Corporation (United States)

  • Martin Tschinkl, AMTC (Germany)

  • Jacques Waelpoel, ASML Netherlands B.V. (Netherlands

  • Jim Wiley, ASML US Inc. (United States)

  • Hermann Wolf, Photronics MZD GmbH (Germany)

  • Stefan Wurm, Atice LLC (United States)

  • Shusuke Yoshitake, NuFlare Technology, Inc. (Japan)

  • Larry Zurbrick, Keysight Technologies (United States)

Session Chairs

  • Plenary Session I

    Jo Finders, ASML Netherlands B.V. (Netherlands)

    Jim Wiley, ASML US Inc. (United States)

  • Plenary Session II

    Reinhard Galler, EQUIcon Software GmbH Jena (Germany)

    Ute Buttgereit, Carl Zeiss SMT GmbH (Germany)

  • Wafer Lithography (193i and EUV)

    Jo Finders, ASML Netherlands B.V. (Netherlands)

    Stefan Wurm, Atice LLC (United States)

  • ML2, NIL, and DSA

    Ines A. Stolberg, Vistec Electron Beam GmbH (Germany)

    Brid Connolly, Toppan Photomasks, Inc. (Germany)

  • Plenary Session III

    Uwe F.W. Behringer, UBC Microelectronics (Germany)

  • Mask Patterning, Metrology, and Process

    Martin Tschinkl, AMTC (Germany)

    Klaus-Dieter Roeth, KLA-Tencor MIE (Germany)

  • Non-IC Applications, Plasmonics, and Photonics

    Raluca Tiron, CEA-LETI (France)

    Temitope Onanuga, Fraunhofer-Institut für Integrierte Systeme und Bauelementechnologie IISB (Germany) (Germany)

  • Mask2Wafer and Wafer2Wafer Metrology

    Jan Hendrik Peters, bmbg consult (Germany)

    François Weisbuch, GLOBALFOUNDRIES Dresden (Germany)

  • Using Big Data/Deep Learning

    Bertrand Le-Gratiet, STMicroelectronics (France)

    Serap Savari, Texas A&M University (United States)

Foreword

On behalf of VDE/VDI-GMM, the EMLC2018 sponsors, and the EMLC2018 organizing committee, we welcome you to the proceedings from the 34th European Mask and Lithography Conference, EMLC2018, at the MINATEC Conference Centre in Grenoble, France.

The conference has annually brought together scientists, researchers, engineers, and technologists from research institutes and companies from around the world to present innovations at the forefront of mask lithography and mask technology. The two-and-a-half-day conference is dedicated to the science, technology, engineering, and application of mask and lithography technologies and associated processes, giving an overview of the present status in mask and lithography technologies and the future strategy where mask producers and users have the opportunity of becoming acquainted with new developments and results. This year’s sessions were: Wafer Lithography (193i and EUV), Mask Patterning, Metrology, and Process, Non-IC Applications, Plasmonics, and Photonics, Nano-Imprint Lithography and DSA, Mask2Wafer and Wafer2Wafer Metrology, and Using Big Data/Deep Learning.

Marie-José Salat, representative of Grenoble-Alpes Métropole, was welcome speaker.

Tutorials

Paul van Adrichem from ASML Netherlands B.V. (Netherlands) gave a “Review of OPC / RET / SMO.” This first tutorial provided an overview of advanced optical proximity correction, resolution enhancement technology, as well as source-maskoptimization.

Aviram Tam from Applied Materials PDC (Israel) gave the second tutorial on the “Inspection Challenges in the EUV Area.” This tutorial covered the use cases for blank inspection, pattern inspection, outgoing inspection, and the possible solution for DUV mask inspection, e-Beam MI, actinic blank inspection, on-wafer qualification, and how those evolve in each of the different scenarios for pellicle (no pellicle/detachable/13.5nm only/193nm friendly).

The first keynote speaker was Olivier Noblanc from ST Microelectronics (France). His talk was titled, “Technology for Optical Sensors.”

The second keynote speaker was Laurent Pain from CEA-LETI (France). His presentation was on “The Battle Field of Lithography.”

The third keynote speaker was Frédéric Boeuf from ST Microelectonics (France). He presented the newest technologies on “Silicon Photonics.”

As every year, we invited the authors of the Best Poster of BACUS (SPIE Photomask Technology) 2017 and the Best Paper from PMJ (Photomask Japan) 2018 to present their papers.

Technical Exhibition

Parallel to the conference presentations, a technical exhibition took place on Tuesday and Wednesday where companies (mask suppliers, material suppliers, and equipment suppliers) exhibited their companies and products. To foster the exchange between the conference attendees and the exhibitors, the exhibition area was also the place for all coffee and lunch breaks.

We hope that you enjoyed the tutorials and technical sessions of the EMLC2018 as well as the technical exhibition, but also allowed yourself take in the beautiful city of Grenoble.

Uwe F.W. Behringer

EMLC2018 Conference Chair

Sponsors and Cooperating Partners

The 34th European Mask and Lithography Conference, EMLC 2018, would like to express its sincere appreciation to all the sponsors and coopering partners below for their support.

00008_PSISDG10775_1077501_page_13_1.jpg
© (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 10775", Proc. SPIE 10775, 34th European Mask and Lithography Conference, 1077501 (24 September 2018); https://doi.org/10.1117/12.2513695
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Lithography

Electron beam lithography

Metrology

Inspection

Extreme ultraviolet

Extreme ultraviolet lithography

Back to Top